NEWS

AIチップベンチャーのビッグネームCerebrasとHailoが相次いで日本法人を設立

2020.09.11
2020年8月および9月にかけて、AIチップ・ベンチャーのCerebras SystemsとHailoの2社が相次いで日本法人の設立を発表した。

Cerebras Systemsのプレスリリース
Hailoのプレスリリース
Cerebras Systemsは、「WSE(Wafer Scale Engine)」と呼ぶ21.5cm角の超大型ディープ・ラーニング専用プロセッサを手掛ける米国のAIチップ・ベンチャーで創業は2016年。2018年11月の時点で投資家から累計2億ドル以上を集めている。「WSE」を搭載するディープラーニング・システム「CS-1」はトレーニングのアクセラレーション向けに既に市販されており、国内では東京エレクトロンデバイスが代理店として販売している。
ここ最近、米ピッツバーグ・スーパーコンピューティング・センターが「CS-1」を導入し、AIスーパーコンピューターの構築するという話や、米アルゴンヌ国立研究所が新型コロナウイルスのワクチン開発向けに「CS-1」を導入したといった話が発表されており、少なくとも北米市場ではビジネスが進んでいるように見える。
東京エレクトロンデバイスという代理店を持ちながら日本法人を設立するということは、日本市場でもビジネスが動き出し本腰を入れるという事なのだろう。設立されたセレブラス・システムズ合同会社の代表執行役社長には、NAS市場に精通する江尾浩昌氏が就任している。
もう一方のHailoは2017年に設立されたイスラエルのAIチップベンチャーで、Cerebras Systemsとは異なりエッジ推論向けのAIプロセッサを手掛けている。同社は今年の3月に資金調達Bラウンドで6000万ドルを調達。累計7000万ドル以上を集めており、投資家には日本のNECも含まれている。今年の5月にはFoxconn、ソシオネクストと共同でエッジ向け次世代AI映像解析ソリューションの提供を発表しており、既に日本市場への参入を露わにしていた。
Hailoは昨年5月にディープラーニング専用プロセッサ「「Hailo-8」を発表。「Hailo-8」は自動車分野を主なターゲットとしており、推論実行時の電力効率の高さをうりにしている。Hailoによると「Hailo-8」は同等のCNNタスクを「Nvidia Xavier AGX」の20分の1の消費電力で実行できるという事だ。
設立されたHailoジャパン合同会社の代表取締役社長には、元ソニーでコーポレートベンチャーキャピタルの設立、運営にあたっていた内田裕之氏が就任している。
Cerebras Systems
Hailo

S2C、Intelの最高級FPGAを4個搭載した3億ゲートのプトロタイピング・システムを発表

2020.09.10
2020年9月3日、FPGAベースのプロトタイピング・システムを手掛けるS2Cは、プロトタイピング・システムの新製品「Quad 10M Prodigy Logic System」の発売を発表した。
プレスリリース
S2Cが発売した「Quad 10M Prodigy Logic System」は、Intelの14nm FPGAの最上位製品「Stratix 10 GX」を4個搭載するプロトタイピング・ボードで、同社の既存製品「S10 10M Prodigy Logic System」(Stratix 10 GXを1個搭載)の拡張版となる。
「Quad 10M Prodigy Logic System」のデザイン容量はASICゲート換算で3億ゲート。そのキャパシティだけでなくゲート単価の低さも同製品のウリとなっている。主な特徴は以下の通り。
・ロジックエレメント数4,080万(ASICゲート換算で3億ゲート以上)、メモリ1,012Mb、DSPブロック13,824個
・4,608個の高性能I/OによるFPGA間接続およびドーターカード接続
・160個の高速トランシーバ160個、最速16Gbpsまで実行可能
・90以上のProdigy Prototype Ready IPと互換
・統合されたマルチデバッグモジュール
・コンパクトかつ洗練されたオールインワンのシャーシ
10M0904.png
※画像はS2C社Web上のデータ
S2Cの説明によると、新設計された制御モジュールにはデバッグ用のハードウェアがビルトインされており、ペリフェラルを追加せずに複数のFPGAに対する高性能ディープ・トレースが可能。デザインのパーティショニング・ツールも改善され、FPGA内は10Mのダイ間にDIBを挿入して自動分割し、FPGA間は複数FPGAにおけるピンの多重化を行うことで自動分割するという。
関連記事:S2Cが世界最大のFPGA Intel Stratix 10GX 10M搭載のFPGAベース・プロトタイピング・システムを発売
S2Cジャパン株式会社

2020年7月の世界半導体市場は前年比4.9%増の352億ドル、6ヶ月連続で前年比増

2020.09.08
2020年9月3日、米SIA(Semiconductor Industry Association)は、2020年7月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2020年7月の世界半導体売上は、前年同月比4.9%増、前月比2.1%増の352億ドルで、6ヶ月連続で単月売上の前年比増を達成した。半導体市場は2019年の落ち込みから確実に回復しているように見えるが、SIAのCEOは「今後の市場動向には大きな不確実性が残る。」と先月と同様のコメントを残している。
2020-09-08 6.41.17.png
2020年7月の売上を地域別で見ると、北米市場は回復が鮮明で売上げは前年比26.3%増と大きく増加。一方で欧州市場は前年比14.7%減と大きく売上を落とした。日本市場の7月の売上は前年比0.4%減、前月比3.4%増の29.9億ドルで、売上を円ベースで換算すると前月比約2.2%増、前年比約1.8%減の約3,190億円となる。 
2020-09-08 7.10.33.png
※SIA

RISC-VベースIPでゲームチェンジを狙うSiFiveの新事業「OpenFive」

2020.09.03
2020年8月17日、RISC-VベースのIPコアを手がけるSiFiVeは、同社の新たなビジネスユニット「OpenFive」について発表した。
プレスリリース
発表によるとSiFiveの新事業「OpenFive」は、ドメイン・スペシフィックなSoC開発に特化した設計サービスで、RISC-Vベースのプロセッサに拘らず、あらゆるプロセッサ・アーキテクチャに対して中立な形でSoCのデザイン・サービスを展開するという。つまりArmベースのSoC設計も請け負うということだ。
SiFiveは元々RISC-Vベースの独自プロセッサIPのロイヤリティ・ビジネスだけでなく、それを用いたSoCデザイン・サービスを手掛けており、いかに短期間・低コストでSoCを製品化するかという視点で、クラウドベースの設計環境や各種デザイン・テンプレートの整備に力を注いでいた。
既に公開されている「OpenFive」のホームページを見ると、テープアウト実績は350以上となっており、同社のデザイン・サービスはかなり大きなビジネスに成長していることが分かる。
SiFiveの説明によると、「OpenFive」のデザイン・サービスでは、5nmプロセス・ノードの先端デザインや2.5Dパッケージング技術もサポート。SoCデザイン用のIPとしては、Interlakenコネクティビティ・ファブリック、400/800Gイーサネット、HBM2メモリ、USBサブシステム、ダイ間相互接続IPなどをが用意されているという。
SiFiveは、RISC-Vベースの独自プロセッサを主軸に、SoCのワンストップ・デザインサービスを実現するファブレス・ベンダとして事業を拡大していくことになりそうだ。
SiFive

Secure-ICとNSITEXEが車載プロセッサのセキュリティでコラボレーション

2020.09.02
2020年9月2日、組込みシステム向けのセキュリティ・ソリューションを手掛けるSecure-ICとプロセッサIPを手がけるNSITEXEは、両社のコラボレーションについて発表した。
プレスリリース
発表によるとSecure-ICとNSITEXEは、両社のセキュリティ技術とプロセッサIPを組み合わせ、Cyber-Physical Systems(CPS)向けのセキュリティ・ソリューションを構築。パートナー契約の下、共同でソリューション提供を行う。
両社が具体的な提供物として明らかにしているのはネットワーク・セキュリティ・サブシステムでその内容は以下の通り。CPS向けと謳いつつも、まず狙うのは車載アプリケーションのセキュリティということのようだ。
<Secure-IC/NSITEXEの提供するCPS向けネットワーク・セキュリティ・サブシステムを構成する技術とサービス>
 1. TLS1.3/SSL, Deep Packet Inspection, Chain of Trust, セキュアブート, 
  セキュア・ファームウェア・アップデート, 暗号化高速技術等
 2. Secure-ICのCyberEscortUnitにより拡張されたNSITEXE製RISC-Vプロセッサコア
   →"0-day attack"をリアルタイムに防ぐことが可能
 3.自動車アプリケーション向け統合型ハードウェア・セキュリティ・モジュール 
   →V2X, ADAS, ゲートウェイ, パワートレインなどのアプリケーション向けの
    鍵管理、暗号化サービスを含む
NSITEXEは、今年1月に同社の開発する「DFP(Data Flow Processor)」の最初製品「DR1000C」を発表。同プロセッサは、RISC-Vベースの商用プロセッサとしては世界で初めてISO 26262 ASIL Dに対応した製品で、車両制御をはじめ各種自動運転機能などをターゲットとしている。今回のSecure-ICとのコラボレーションにより、同プロセッサをセキュリティ・サブシステムとして車載システムに組み込む事が可能となる。
なおNSITEXEはデンソーの子会社として、「DFP(Data Flow Processor)」の展開を車載システム中心に考えているが、その他の分野への展開についても事あるごとに示唆しており、Secure-ICとのコラボレーションは多分野へ拡がっていく可能性がある。
Secure-IC 
NSITEXE 
NSITEXE Linked-in ページ

Cadenceがマシンラーニングを用いた論理シミュレータ「Xcelium ML Simulator」を発表

2020.08.25
2020年8月13日、Cadenceは、マシンラーニング技術を用いた論理シミュレータ「Xcelium ML Simulator」を発表した。
プレスリリース
Cadenceによると「Xcelium ML Simulator」は、論理シミュレータ「Cadence® Xcelium™ Logic Simulator」のコアエンジンをマシンラーニング技術で強化したもので、ランダム・リグレッション検証の収束を最大5倍高速化することが可能。リグレッション検証セッションを通して繰り返し学習を行い、検証環境内に隠されたパターンを解析しランダム検証カーネルを調整することで、より短いシミュレーション・サイクルで高い検証カバレッジを達成できる。
既に同シミュレータを適用したキオクシアの堀川 和成氏(設計技術推進部 部長)のコメントによると、「Xcelium ML」を用いてフルランダム・リグレッション検証を実行した結果、オリジナルの99%の検証カバレージに到達するまでのTATを4倍高速化することができたという。
日本ケイデンス・デザイン・システムズ社

Synopsys売上報告、2020会計年度Q3前年比約13%増の売上9億6410万ドルで過去最高

2020.08.25
2020年8月19日、Synopsysは、2020会計年度第3四半期(20年5-7月)の四半期決算を報告した。
プレスリリース
発表によるとSynopsysの2020会計年度Q3の売上は、前年同時期比約13%増、前Q2比約11.9%増の9億6410万ドルで四半期売上としては過去最高、営業利益は前年比約153%増の2億5290万ドルだった。(※GAAP基準による会計結果)。
今回発表されたQ3売上額はQ2売上報告時の予想を大きく上回るもので、四半期売上が9億ドルを超えるのは初めて。四半期売上記録の更新はQ2に続いて2四半期連続となる。Synopsysの会長Aart de Geus氏のコメントによると、このQ3は全ての製品グループで2桁成長を達成し、全ての地域で売上を伸ばしたという。
SNPS2020-Q3.png
SynopsysはこのQ3において、USB4.0 IPを新製品として発表したほか、半導体テストおよび製造向けのビッグデータ解析ソリューションを手掛けるQualtera社の買収を発表している。
Synopsysは次期Q4の売上を10億-10億300万ドル、2020年度売上を36億6000-9000万ドルと予測している。
Synopsys株価推移
日本シノプシス合同会社

TSMC 5nmプロセスのデザインサービスを開始した台湾Alchip、PFNのスパコン省電力世界一獲得にも貢献

2020.08.24
2020年8月13日、台湾のファブレスASICベンダーのアルチップ・テクノロジーズは、TSMC 5nmプロセスをターゲットとしたASIC設計のデザイン・サービスを開始したことを発表した。
プレスリリース(英文)
Alchipによると、最先端のTSMC 5nmプロセス向けのデザインサービスの開始は同社が業界初。最初のテストチップのテープアウトを今年12月に予定しているという。
Alchipのデザインサービスは、一般的なデザインの請け負いに加え、チップのパッケージからテストまでトータル的にサポートしており、TSMCとのパートナーシップや独自の設計技術、IPソリューションが大きな強み。それらの総合力によって顧客のニーズに応じた高品質な最先端プロセス製品を短TATで実現している。
Alchipは5nmデザインにおいても、DDR5、GDDR6、HBM2E、HBM3、D2D、PCIe5、Tier 1プロバイダーの112G serdes IPなど、「クラス最高の」高性能コンピューティングIPポートフォリオを利用するとしており、合わせて同社の高度なパッケージング機能が5nmチップの生産の強力な武器になると強調している。
Alchipのこれまでの実績を振り返ると、TSMC先端プロセスへの対応力や高性能チップの開発実績が目立つが、先頃発表されたプレスリリースによると、同社はスパコン省電力ランキング「Green500」で世界1位を獲得した、Preferred Networksのスパコン「MN-3」に搭載されるディープラーニング・プロセッサ「MN-Core」の設計に深く関わっていたという話。


プレスリリース

mn-core.png

発表によるとAlchipは、4ダイ構成の巨大なプロセッサ「MN-Core」の設計に独自のクロック設計技術を用いる事で、バラつき回避と電力削減に大きく貢献。4つのダイ間のインターフェース接続には同社独自のD2D接続技術を用い、その巨大なチップのパッケージやテストにおいても独自のノウハウを駆使したということだ。(※画像はMN-Core, PFN社web上のデータ)
日本にもオフィスを構え複数の日本企業を顧客に持つAlchipは、古くはTSMC 90nmプロセスが最先端だった時代に、東京大学を中心に進められたスーパーコンピュータ開発プロジェクト「GRAPE-DR」に参画し、同スパコン搭載チップの物理設計とチップ製造を成功させている。
※関連ニュース:
台湾アルチップ、東大のスパコンプロジェクトに参加>>世界最速のスパコン開発を支援
アルチップ・テクノロジーズ・リミテッド
株式会社Preferred Networks

出荷数100万ユニット超、AIチップベンチャーの米Syntiantが3500万ドルを追加調達

2020.08.07
2020年8月4日、AIチップベンチャーの米Syntiantは、資金調達Cラウンドで投資家から3500万ドルを調達した事を発表した。
プレスリリース

2020-08-07 7.20.24.png

発表によると今回の資金調達をリードしたのは、既存投資家であるMicrosoftのベンチャーファンドM12とApplied Materialsのベンチャーキャピタル部門で、新たに3社が投資家に加わった。今回のラウンドを含む同社の累計調達額は6500万ドルで、同社の投資家にはIntel, Amazon, Motorolaも名を連ねている。
昨年2月にリリースされたSyntiantのAIプロセッサ「Syntiant™ NDP100™」および「Syntiant NDP101™」は、常時オンの音声インタフェース向けの超ローパワー・プロセッサとして一定の顧客を集めており、その出荷数は発売後1年半で100万ユニットを超えたという。ちなみに「Syntiant™ NDP100™」のサイズは、1.8mm x 1.4mmで、推論実行時の消費電力はわずか140uWとのこと。
Syntiantの「neural decision processor (NDP)」は、独自の「analog neural network」技術とメモリ・セントリック・アーキテクチャに加え、顧客のニーズに応じて最適化したニューラルネットワークをセットで提供することで、桁違いの低電力性能と優れた演算能力の両立を実現。
Syntiantは、市場のMCUやDSPソリューションの約半分のダイサイズで約100倍の電力効率と10倍のスループットを提供できるとしている。
Syntiant

FPGAの活用推進に向けて、ACRiがタダで使えるオンラインのFPGA利用環境「ACRiルーム」を開設

2020.08.05
2020年7月31日、アダプティブコンピューティング研究推進体-ACRiは、オンラインのFPGA利用環境「ACRiルーム」の開設を発表した。
プレスリリース
ACRiは今年4月に設立されたFPGAの活用推進を目的とした産学連携の研究団体で、15の企業・団体が活動に参画している。具体的な活動としては、FPGA検証環境や学習機会の無償提供をアナウンスしており、既に同団体のブログにはFPGAの活用に関する様々なノウハウや教材が記事として公開されている。
今回発表された「ACRiルーム」は、学生、技術者、一般企業など、誰もがオンラインで利用できるFPGA環境で、その実機は東京工業大学の大岡山キャンパス内に設置されている。環境を構成するのは以下の機材およびソフトウェアツールで、これらを無料で利用することができる。
・ザイリンクス社提供 Alveoアクセラレータカード 4枚
 搭載ボード:Alveo U200/U250/U280/U50
・Digilent社様協賛 Artyボード 100枚
 搭載ボード:Arty A7-35T(XC7A35TICSG324-1L)
・GDEP社様提供 FPGA StarterBOX 1台
 搭載ボード:Alveo U200
ACRiの狙いは、共通のFPGA環境を利用することによるノウハウの共有で、「ACRiルーム」をFPGAに関わる研究、教育、開発などに役立てたいとしている。環境の運用は8月1日より開始しており、希望者はオンラインでの予約が可能。8月6日(木曜日)には ACRiルームの目的や使い方についてデモを交えて紹介する「ACRiルーム紹介ウェビナー」の開催も予定されている。
ACRiルーム 

2020年6月の世界半導体市場は前年比5.1%増の345.3億ドル、5ヶ月連続で前年比増

2020.08.05
2020年8月3日、米SIA(Semiconductor Industry Association)は、2020年6月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2020年6月の世界半導体売上は、前年同月比5.1%増、前月比0.3%減の345.3億ドルだった。単月売上が前年実績を上回るのはこれで5か月連続となる。半導体市場においてはこれまでのところ新型コロナウイルスによる悪影響は出ていないが、SIAのCEOは「マクロ経済の逆風が続いているため、今年の後半は大きな不確実性が残る。」とコメントしている。
スクリーンショット 2020-08-05 9.48.25.png
2020年6月の売上を地域別で見ると、5月に続いて6月も北米市場の売上の伸びが前年比29%増と際立っていた。一方で欧州市場は前年比17.1%減と大きく売上を落とした。日本市場の6月の売上は前年比2.2%減、前月比1.1%増の29億ドルで、売上を円ベースで換算すると前月比約0.8%減、前年比約2.9%減の約3,119億円となる。
2020-08-05 10.00.14.png
※SIA

DAC2020 完全バーチャル・イベントとして現地時間明日開幕

2020.07.20
2020年7月20日、今年で57回目となるDesign Automation Conference(DAC2020)が西海岸標準時(夏時間)AM9:00より開幕する。
DAC2020公式ページ
DAC2020-07-20 17.20.05.png
今年のDAC2020は新型コロナウイルスの影響で同カンファレンス初の完全バーチャル開催となった。7月25日までの会期中に行われる全てのセッションがオンラインで配信される予定だ。またそれら全てのセッションは8月1日までオンデマンド配信される。
当然ながらその対象はカンファレンスに参加登録した人のみ。参加費用はIEEEメンバーでUSD199.00, 一般参加の場合にはUSD249.00が必要となる。
訂正:キーノートを含む多くの特別企画セッションは無料でアクセス可能です。
実際に参加登録をして驚いたのは、全ての参加登録者の情報がオープンになっていること。そして講演者、出展者を含む参加者同士でメッセージを送りあったり、ミーティングを設定したり、個々のセッションの聴講登録者同士でやり取りしたりと、様々な形でコミュニケーション可能となっている。
北米ではこういったスタイルのオンライン・イベントが当たり前なのかと思いきや実際にはそうでもないようで、DACとしては意欲的にイベント参加者の交流に力を注いだようだ。素晴らしい。
DAC2020の内容について簡単に解説しておくと、今年は自立システム、ハードウェア設計、EDA、組み込みシステム、IP、機械学習/AI、セキュリティ、クラウド設計と大きく8つのトピックスにフォーカスしてプログラムが構成されている。
米EETimes誌の記事によると、今年提出された論文数は前年比21%増の984件でうち228件の論文を採択。AIおよびMachine Learningのハードウェア・アーキテクチャに関する論文が前年比86%増と際立って多く、セキュリティや組込みシステムに関する論文も増加しているという。(DAC2020 Vice Chair Harry Foster氏のコメント)
また、RISC-Vに関する注目も依然継続しており、論文の25%がオープンソースの命令セットアーキテクチャを組み込んだプロジェクトに関連するものだということだ。
DAC 2020 preview: interest in AI, ML and RISC-V grow to new levels this year(EETimes)
なお今年のオープニング・キーノートは、元スタンフォード大の教授でIEEEフェローのTSMC H.-S. Philip Wong氏。同氏は3D ICに基づくシステムレベルの半導体デバイスの進化について語るようだ。
また、2日目以降のキーノートは下記の登壇者が予定されている。
・RISC-V FoundationのCEO Calista Redmond氏 7/21 Keynote RISC-V関連の話
・Cerebras SystemsのCEO Andrew Feldman氏 7/22 Keynote AIチップの話
・Stanford Universityの教授 Andrea Goldsmith 7/23 Keynote 6G通信の話
初日に行われるチュートリアルは計13種類。昨年に続いてエッジ推論、FPGAアクセラレーション、セキュリティ、自動運転といったテーマの他に、Chiplet Integration、Compute-in-Memoryといったテーマも論文発表からチュートリアルへと移ってきている。
DAC2020の中心となるResarchトラックは会期を通じて計67セッションが予定されており、うち24セッションがAIおよび機械学習の関連する内容となっている。
展示会場内の特設会場DAC Pavilionで行われるDACの人気企画「Tech Talk」は、バーチャル開催の今年も実施される予定。今年はDAC Pavilionのほかに2年前まで行われていたDesign-on-Cloud Pavilionが復活したほか、新たにRISC-V Pavilionが新設された。
スポンサーによる展示はバーチャル展示という形で計60社が参加する。各社の展示にアクセスすると、動画やZOOMセッションを通じて製品情報を入手できる。スポンサー説明員とのライブチャットを利用したインタラクティブなやり取りも可能ということだ。
バーチャル開催という初の試みにチャレンジする今年のDAC。セッションや展示は、日本時間で夜中から始業前位の時間で行われるので、是非この機会にアクセスしてみてはいかがだろうか? 
※スポンサーの展示およびキーノートを含む多くの特別企画セッションは無料でアクセス可能です。
DAC2020

昨年8億7000万ドル規模だったインタフェースIP市場、5年後には倍の市場規模に成長

2020.07.16
2020年7月13日、ElectronicsWeekly.comの記事:
Interface IP market to double in next five years
市場調査会社 IPnestによると昨年のInterface Design IPの市場は前年比18%増の8億7000万ドルだった。
同市場は今後も成長を続け、2024年には昨年の倍にあたる市場規模18億ドル程度に拡大する見通し。
IPの新たな成長ドライバーは、サーバー、データセンター、有線および無線ネットワーキング、AIなどのデータ中心のアプリケーションで、インターフェースIPにおけるメモリコントローラー、PCI Express、イーサネット、SerDes、「Die2Die」(D2D)と呼ばれる新しいプロトコルなどは、成長ドライバーとなるアプリケーションに必須なもので、既に収益が伸びている。
インタフェースIPの売上Top5は、上から順にイーサネット&D2D、DDR、PCIe、USB、MIPIとなっている。
一方でCPU IPの今後の成長率の予測は低く、2020〜2025年のCAGRは4%程度と予測されている。
その理由は2つで、まずRISC-V CPUの浸透によるライセンス・ビジネスモデルの変化。これによりCPU IP市場が不安定になっている。
もう一つはArmの将来の収益の不確実性。中国でのARM IP販売をサポートするために設立されたジョイント・ベンチャーが終了することにより、Armの売上の30%を占めるとされる中国からの収益が減る可能性がある。
※現時点でArm Chinaの終了という報道はありません。
ElectronicsWeekly.com

Siemens(Mentor)が配置配線ツールのAvatar Integrated Systemsを買収

2020.07.16
2020年7月15日、Mentor Graphicsを事業部として抱えるSiemensは、配置配線ツールを手掛けるEDAベンダ、Avatar Integrated Systemsの買収を発表した。 
プレスリリース
発表によるとSiemensによるAvatarの買収は今年後半に完了する予定。その取引条件などは明らかにされていない。
Avatarは2017年に設立されたEDAベンダで、Synopsysとの係争に敗れ事業清算に追い込まれたATopTech社の技術をバックグラウンドとしている。
AvatarはATopTechが提供していた配置配線ツールと同じ名前の配置配線ツール「Aprisa」を提供し、Samsung, Broadcom, Cypress, Mellanox, Xilinxなど複数の大手顧客を抱えていた。Avatarのツール「Aprisa」は、16nm以降の先端プロセスデザインにおいて高い性能を発揮するとされている。
Siemensは買収するAvatarの配置配線ツールを、同社Mentor事業部の物理検証ツール「Calibre®」、DFTツール「Tessent™」、高位合成ツール「Catapult™」などと統合して顧客に提供していく計画。
MentorはかつてSierra Design Automationから買収した配置配線ツール「Olympus-SOC」を保有しているが、16nm以降の先端プロセスデザインの市場でCadence/Synopsysの競合製品に太刀打ちできず、配置配線ツールのユーザーを拡大する事ができなかった。
その後Siemensに買収されたことで、MentorのEDA事業の先細りを危ぶむ声も少なくなかったが、今回のAvatarの買収は、Siemens/MentorとしてEDA事業の拡大を諦めていないという大きな意思表示と見て取れる。
大手2強の製品に潰されることなく顧客を獲得してきたAvatarの配置配線ツール「Aprisa」。今後、Siemens/Mentorの中でどう成長していくのか楽しみである。
メンター・グラフィックス・ジャパン株式会社

NEDOプロジェクトで、RISC-Vコアベースの組み込みシステム向けソフトウェアスタック群を開発

2020.07.15
2020年7月6日、国立研究開発法人新エネルギー・産業技術総合開発機構(NEDO)は、高効率・高速処理を可能とするAIチップ・次世代コンピューティングの技術開発事業として実施する新たなプロジェクトについて発表した。
プレスリリース
発表によるとNEDOは、2018年度から革新的なAIエッジコンピューティング技術の開発に着手し、その開発テーマの一つとして「セキュアオープンアーキテクチャ基盤技術とそのAIエッジ応用研究開発」を推進。同テーマではRISC-Vコアをベースに、高信頼性を確保するための鍵管理技術やトラスト実行環境(TEE)に準拠したセキュリティ基盤技術等を開発することを目的としている。
今回、革新的AIエッジコンピューティング技術の開発という名目で公募したプロジェクトでは、RISC-Vコアをベースとした集積回路やそれを用いたアプリケーションの開発環境の整備、拡張を行うために、誰もが使いやすい組み込みシステム向けソフトウェアスタック群の研究開発を行うとのこと。同プロジェクトの委託先は、イーソル、ユーリカ、京都マイクロコンピュータ、エヌエスアイテクスの4社となっている。
NEDOは本プロジェクトによりRISC-Vコアの活用を国内で普及させたいとしているが、国が主導する同様の取り組みは、インドでは2016年、中国では2017年から進められている。
国立研究開発法人新エネルギー・産業技術総合開発機構(NEDO)

2020年Q1、世界EDA市場は前年比3.5%増の26億9800万ドルで史上2番目の水準に

2020.07.14
2020年7月13日、米ESD Allianceは、2020年度第1四半期(1月-3月)の世界EDA売上報告を発表した。
プレスリリース
ESD Allianceの発表によると、2020年Q1(1-3月)の世界のEDA売上総額は前年比約3.5%増の26億9800万ドルだった。この実績は2017年Q4に次ぐ史上二番目の記録で、これで5四半期連続で四半期売上が前年実績を上回った。
2020-07-14 10.47.15.png
2020年Q1のEDA売上をカテゴリ別に見ると、IP分野とPCB/MCM分野が大きく伸びた一方で、IC Physical Design & Verification分野が大きく落ちた。
2020年Q1のEDA売上を地域別に見ると、欧州・中東・アフリカが前年比13.4%増とQ4に続いて大幅増。売上下落が続いていた日本市場は1年ぶりに前年実績超えを達成した。
2020年Q1時点でのEDA業界の従業員数は前年比5.6%増の45,938人でQ4よりも増加した。
2020年Q1の分野別の売上と昨年同時期との比較は以下の通り。
■CAE分野 8億5490万ドル 1.7%Up 
■IC Physical Design & Verification分野 5億790万ドル 8.9%Down
■IP分野 9億8560万ドル 12.5%Up
■サービス分野 9870万ドル 8.6%Down
■PCB/MCM分野 2億5090万ドル 12%Up
2020-07-14 11.02.09.png
2019年Q4の地域別の売上と昨年同時期との比較は以下の通り。
■北米 11億1810万ドル 0.5%Up
■欧州・中東・アフリカ 3億9230万ドル 13.4%Up 
■日本 2億6860万ドル 9.8%Up
■アジアその他地域 9億1890万ドル 1.8%Up
スクリーンショット 2020-07-14 11.07.39.png
※ESDA(Electronic System Design Alliance)

ユーリカが高位合成ツール「C2R Gate」を発売、C/C++からRTLを合成

2020.07.07
2020年7月3日、組込みシステム開発ソリューションを手がける株式会社ユーリカは、高位合成ツール「C2R Gate」の販売開始を発表した。
プレスリリース
発表によると高位合成ツール「C2R Gate」は、ユーリカの提携先である一般財団法人新システムビジョン研究開発機構(NSV財団)のC2RTL技術をもとに開発されたClang/LLVMベースのツールで、CまたはC++で記述されたコードから制約条件に基づいたRTL(Verilog-HDL)、テストベンチ、そしてRTLと等価な検証用Cモデルを自動生成することが可能。プロセッサ、バス、I/O等のオプションのIPライブラリ「C2R Idea Notes」も用意されており、ユーリカはASIP(Application Specific Instruction-set Processor)の開発にも利用できるとしている。
product_img02.png
※画像はユーリカ社発表資料からの抜粋
ユーリカは自動車向けの組込みソフト開発を主軸とする株式会社OTSLの関連会社であり、高位合成ツール「C2R Gate」はソフトウェア・エンジニアによるハードウェア設計の容易化を目的に開発したという。
株式会社ユーリカ

2020年5月の世界半導体市場は前年比5.8%増の349.7億ドル、4ヶ月連続で前年比増

2020.07.06
2020年7月3日、米SIA(Semiconductor Industry Association)は、2020年5月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2020年5月の世界半導体売上は、前年同月比5.8%増、前月比1.5%増の349.7億ドルという結果だった。単月売上が前年実績を上回るのはこれで4か月連続となる。新型コロナウイルスによる混乱の影響が懸念されていた半導体市場だが、これまでのところ売上面での大きな影響は特に現れていない。
2020-07-06 17.50.06.png
2020年5月の売上を地域別で見ると、5月も北米市場が前年比25.5%増と大きく回復。欧州だけは前年比12.9%減と大きく売上が落ちた。日本市場の5月の売上は前年比1.5%増、前月比2.8%増の29.3億ドルで、売上を円ベースで換算すると前月比約1.8%増、前年比約0.6%減の約3,143億円となる。
2020-07-06 17.51.02.png
※SIA

SoC解析&デバッグソリューションのUltraSoCをSiemens(Mentor)が買収

2020.06.24
2020年6月23日、Siemensの事業部 Mentor Graphicsは、SoCの解析およびデバッグ・ソリューションを手掛ける英UltraSoC社の買収を発表した。
プレスリリース
発表によるとSiemensは、MentorのDFTツール「Tessent™」の一部として、UltraSoCのテクノロジーを「Xcelerator」ポートフォリオに統合するとのこと。「Xcelerator」はSiemensの産業向けアプリケーション開発ツールの統合プラットフォームで、同社のDX推進ソリューションの核となっている。
UltraSoCは元々SoC開発向けにBus performance monitorなどチップ組み込み型の各種モジュールをIPとして提供しており、Imagination Technologiesなどを顧客にしていた。近年はRISC-Vコミュニティに積極的に参画し、業界初となるRISC-Vプロセッサ向けの商用デバッグ・ツールをリリースしたほか、SoCの性能解析ソリューションなども手掛けていた。またごく最近、セキュリティ機能の自動実装を目指すDARPAのプログラムにArm、Synopsysらとともに参画した事を発表していた。
メンター・グラフィックス・ジャパン株式会社
UltraSoC

クラウドベース設計に向けて動くTSMCとSamsung、それをサポートするCadenceとSynopsys

2020.06.19
2020年6月15日、CadenceとSynopsysは、TSMCおよおびMicrosoftとのクラウド・アライアンスについて発表した。
Cadenceプレスリリース 
Synopsysプレスリリース 
両社の発表はいずれもTSMCプロセス・テクノロジ向けのタイミング・サインオフと寄生容量抽出に関するもので、MicrosoftのAzure Cloudプラットフォームを利用することでスケーラブルに生産性を向上できるというもの。
具体的には、Cadenceのタイミング解析ツール「Tempus™」と寄生容量抽出ツール「Quantus™」、Synopsysのタイミング解析ツール「PrimeTime」と寄生容量抽出ツール「StarRC」をAzure上で運用できるようになる。クラウド環境を利用することでコンピューティング・リソースをスケーラブルに拡張できるため、サイオンオフ工程の短縮やマシンコストの削減といったメリットが得られる。
Cadenceによると、「Quantus」は64CPUによるマルチコーナー抽出処理において、ほぼリニアな拡張性を実証。また、「Tempus」は150台の計算機上での拡張性を実証済みで、クラウド上での運用によりタイミング・サインオフにかかる計算機コストを半分に削減することも可能だという。
またSynopsysは、今回発表したクラウド環境によって、TSMC N5プロセスを用いたデザインをAzureの最新の仮想マシン「Edsv4シリーズ」上で処理できるとしている。
クラウドベースの設計環境という意味では、既にCadenceもSynopsysも独自のクラウドベース・ソリューションを提供しており、TSMCによる認証を受けているが、今回の話はTSMC主導による自社のファブレス顧客向けの対応という色が濃く、TSMCはこの枠組みを用意することで自社の先端プロセスを利用する顧客の囲い込みに繋げる構えのようだ。TSMCの牙城切り崩しを狙うSamsungファウンドリのここ数年の活発な動きなどが影響していると想像できる。
そしてそのSamsungも自社のファブレス顧客に向けてクラウド環境を整備する動きを見せている。同社は2020年6月18日にクラウドベースの設計プラットフォーム「Samsung Advanced Foundry Ecosystem(SAFE™)Cloud Design Platform(CDP)」をローンチした。
Samsungプレスリリース
「SAFE™CDP」は、クラウドベースのHPC環境を提供する米Rescale社と共同開発したクラウド設計環境で、Cadence、Synopsysに限らずAnsysやMentor(Siemens)の提供するEDAツールを利用することが可能。
Samsungとの関係が強いSynopsysは、早速この「SAFE™CDP」について自社ツールの認証を発表。同社のインプリメント環境「Fusion Design Platform ™」および検証環境「Verification Continuum」を構成する主要製品が「SAFE™CDP」を通じて利用可能だとしている。
Synopsysプレスリリース
なお、Samsung Foundryによると、同社の設計ソリューション・パートナーのGaonchips社は、Cadenceのインプリメント環境「Innovus」を使用した14nm オートモーティブ向けチップ開発で「SAFE™CDP」をすでにテストしており、これまでのオンプレミスの実行と比較して設計ランタイムを30%削減することができたという。
TSMC
Samsung
日本ケイデンス・デザイン・システムズ社
日本シノプシス合同会社

WSTSの2020年春季半導体市場予測、今年は前年比3.3%増のプラス成長

2020.06.10
2020年6月9日、WSTS(WORLD SEMICONDUCTOR TRADE STATISTICS:世界半導体市場統計)は、2020年春季半導体市場予測を発表した。
プレスリリース
発表によると今回の予測会議は新型コロナウィルスの影響で中止となり、加盟各社の予測値の平均値を基に予測値をまとめた。
2020-06-10 7.21.07.png
※画像はWSTS発表資料抜粋
WSTSによると、2020年の世界半導体市場は前年比3.3%増、翌2021年は前年比6.2%増と回復していくという予測。前年比マイナス12%となった2019年に対し今後2年はゆるやかに回復するが、過去最高を記録した2018年の水準には届かないという見立てとなる。
2020年の成長予測の要因はメモリ市況の回復でメモリを除いた市場は前年比-0.7%。
2021年はメモリ以外も回復し全体の成長率を押し上げる格好となる。
日本市場を円ベースで見ると2020年は2年連続のマイナス成長となり前年比4.2%減の約3兆7,535億円。
2021年は前年比3.3%増で市場規模約3兆8,787億円となるが、2019年の約3兆9,187億円には届かない。
WSTS

セキュリティ機能の自動実装を目指すDARPAのプログラムにArm、Synopsys、UltraSoCらが参画

2020.06.04
2020年5月27日、DARPA(米国防高等研究計画局)は、セキュアなチップ開発の効率化を目指すAISSプログラムのメンバーを発表した。
※AISS:Automatic Implementation of Secure Silicon
プレスリリース
セキュアシリコンの自動実装プログラムAISSは、サイドチャネル攻撃、ハードウェアトロイ、リバースエンジニアリングといったSoCレベルのセキュリティに取り組むチームと、、偽造、リサイクル、再マーキング、複製、過剰生産など、サプライチェーン攻撃に対するセキュリティに取り組むチームの2チームで構成される。
・Synopsys, Arm, Boeing, Florida Institute for Cybersecurity Research at the University of Florida, Texas A&M University, UltraSoC, University of California
・Northrop Grumman, IBM, University of Arkansas, University of Florida
Synopsys, Arm, UltraSoCらが参画するチームでは、チップを攻撃から保護するためのアップグレード可能な組み込み型「セキュリティエンジン」を開発し、それをチップに自動実装する手法を研究する。電力、面積、速度、およびセキュリティ(PASS)制約をツールに入力すると、アプリケーションの目的に基づいて最適な実装を自動生成する形を目指すという。
DARPA

MellanoxがInfiniBandソリューションでSynopsysのDDR5/4 PHY IPを採用

2020.06.03
2020年5月28日、SynopsysはNVIDIA傘下のMellanoxが同社のDesignWare DDR5/4 PHY IPを採用した事を発表した。
プレスリリース
発表によるとMellanoxはInfinibandソリューション(HPCおよびAIアプリケーション向けネットワーキング・チップ)の開発でSynopsysのDesignWare DDR5/4 PHY IPを採用した。
DesignWare DDR5/4 PHY IPはシリコン実証済みで7nm含む各種プロセスに対応。最大80ビットのデータパスとチャネルあたり複数のDIMMをサポートするほか、ファームウェア・ベース・トレーニング機能という独自の機能を備えており、出荷後の製品アップグレードに対応できる。
日本シノプシス合同会社

2020年4月の世界半導体市場は前年比6.1%増の344億ドル、3ヶ月連続で前年比増

2020.06.02
2020年6月2日、米SIA(Semiconductor Industry Association)は、2020年4月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2020年4月の世界半導体売上は、前年同月比6.1%増、前月比1.2%減の344.3億ドルという結果だった。単月売上が前年実績を上回るのはこれで3か月連続となる。SIAはこれまでのところ新型コロナウイルスによる混乱は半導体市場に大きな影響は与えていないとしている。
SIA20200401.png
2020年4月の売上を地域別で見ると、3月に続いて北米市場が前年比24.5%増と大きく回復。欧州、日本以外の各地は全て前年比増を達成した。
日本市場の4月の売上は28.6億ドルで、売上を円ベースで換算すると前月比約0.1%減、前年比約3.3%減の約3,087億円となる。
SIA20200402.png
※SIA

Design Solution Forum 2020 延期のお知らせ

2020.06.01
2020年6月1日、Design Solution Forum 実行委員会は、今年10月7日に開催を予定していたDesign Solution Forum 2020の開催延期を発表した。
DSF2020ホームページ
https://www.dsforum.jp/2020/2020/06/01/feb20210212/
DSF2020の開催延期は新型コロナウイルスの影響を受けての判断で、来年2月12日(金)にパシフィコ横浜アネックスホールで開催する予定とのこと。
基調講演は当初の計画通り人工流れ星のALE社岡島礼奈社長が行う予定。5つの講演トラックで計60以上のセッションを実施する計画で、今回の延期判断に伴いイベントのオンライン開催の併催も検討しているという。
Design Solution Forum

Accelleraが新型コロナによる影響を踏まえ、DVCon U.S. 2020のオンライン・バージョンを用意

2020.05.27
2020年5月26日、Accellera Systems Initiative(Accellera)は、後援するイベント「DVCon U.S. 2020」のオンライン・バージョンの公開を発表した。
プレスリリース
DVConは、Accelleraが後援する電子回路の設計および検証にフォーカスしたカンファレンスで、世界各地で開催されており北米では25年以上の歴史を持つ。日本でもかつて一度だけ2017年に開催されている。
今年の「DVCon U.S. 2020」は、新型コロナウイルスの影響を受け会期を短縮し3月2日からサンノゼで開催れたが、移動規制などにより多くの登録者がカンファレンスに参加できなかったため、Accelleraは「DVCon U.S. 2020」のフォローアップ・カンファレンスと称して「DVCon US 2020」オンライン・バージョンを作成した。
「DVCon U.S. 2020」オンライン・バージョンは、まず5月26日から6月16日までの3週間、同イベントに登録していた人を対象に公開される。その後、6月17日から8月14日までは一般公開となり、登録すればだれでもアクセスできる。
「DVCon U.S. 2020」オンライン・バージョンは、「DVCon U.S. 2020」で行われたセッションのプレゼン資料やオーディオ・データが公開されるほか、講演者への質問する仕組みも用意される。(質問できるのは3月のイベント登録者のみ)
※DVCon U.S. 2020 virtual conference website
なお、来年のDVCon U.S. 2021は3月1〜4日に開催される予定。
Accellera Systems Initiative

中国の半導体自給率は目標を遥かに下回っていてこの先も大きく伸びる見込みはない

2020.05.22
2020年5月21日、IC Insightsのレポート:
China to Fall Far Short of its "Made-in-China 2025" Goal for IC Devices
中国は2025年までに半導体自給率を70%まで引き上げるという目標を掲げているが、現状の予測では2024年に自給率20.7%と目標の3分の1程度に留まる見通し。
中国国内の半導体製品の生産高は、2019年における中国の市場規模1250億ドルに対して15.7%の195億ドル。自給率としては2014年の15.1%からわずかな増加となっている。
自給率といっても2019年の195億ドルのうち、純粋な中国企業による生産高は76億ドルで全体の約38%。それ以外は、TSMC、SK Hynix、Samsung、Intelなど中国国内に半導体ファブを持つ他国の企業によるもの。
中国企業による生産高は76億ドルを更に掘り下げると、IDMによる生産高が18億ドル、SMICなどファウンドリによる生産高が58億ドルと推測される。
中国の新興企業YMTCとCXMTのメモリ生産が立ち上がったとしても、2024年時点での中国における半導体製品生産の半分は外国企業によるものとIC Insightsは予測している。
誰もがメモリ市場での中国の動向に注力しているが、中国には非メモリICの技術が欠如しており、非メモリICセグメントで中国企業が競争力を持つようになるには数十年を要するとIC Insightsは分析している。 
IC Insights

Synopsys売上報告、2020会計年度Q2は前年比約3%増の売上8億6130万ドル

2020.05.22
2020年2月19日、Synopsysは、2020会計年度第2四半期(20年2-4月)の四半期決算を報告した。
プレスリリース
発表によるとSynopsysの2020会計年度Q2の売上は、前年同時期比約3%増、前Q1比約3.2%減の8億6130万ドル、営業利益は前年比約7%減の1億990万ドルだった。(※GAAP基準による会計結果)。Synopsysは2019年Q4,2020年Q1と2四半期連続で売上が減少していたが、このQ2は一転四半期売上の過去最高を記録した。
SNPS2020Q2.png
SynopsysはこのQ2において、以下のような新製品を発表している。
RTL静的検証ツール「VC SpyGlass」
フィジカル考慮のRTL最適化ツール「RTL Architect」
Synopsysは次期Q3の売上を8億7500-9億500万ドル、2020年度売上を36億-36億5000万ドルと予測している。
Synopsys株価推移
日本シノプシス合同会社

Cadenceが最新プロトコル仕様10種類の検証IP新製品をまとめてリリース

2020.05.21
2020年5月20日、Cadenceは検証IPの新製品10種類を発表した。
プレスリリース
今回Cadenceが発表した検証IPの新製品は以下の通り。
ハイパースケールデータセンター向けプロトコル:
・CXL - Compute Express Link™
・HBM3
・Ethernet 802.3ck
オートモーティブ向けプロトコル:
・CSI-2 3.0
・MIPI I3C® 1.1
コンシューマーおよびモバイル向けプロトコル:
・TileLink
・eUSB2
・UFS 3.1
・MIPI SPMIsm
・MIPI RFFEsm v3.0
日本ケイデンス・デザインシステムズ社

S2CがクラウドベースのFPGAプロトタイピング・システムをグレードアップ

2020.05.20
2020年5月20日、FPGAベースのプロトタイピング・システムを手掛けるS2Cは、クラウドベースの新たなプロトタイピング・ソリューション「Prodigy Cloud System」を発表した。
プレスリリース
発表によると「Prodigy Cloud System」は、Intelの「Stratix 10 GX 10M FPGA」およびXilinxの「Virtex UltraScale VU440 FPGA」で構成されるクラウドベースのFPGAプロトタイピング環境で、1つのサーバーラックに32個のFPGAを搭載することが可能。Intelの「Stratix 10 GX 10M FPGA」で構成した場合、1ラックあたり最大25億ASICゲートまでデザイン容量を拡張することができる。
S2Cは昨年、業界に先駆けて世界最大ロジック数のFPGA「Stratix 10 GX 10M FPGA」を搭載したプロトタイピング・ボード「S10 10M Prodigy Logic System」を発表しており、今回同ボードを実装したクラウドベースのシステムを用意した格好だ。
※関連ニュース:S2Cが世界最大のFPGA Intel Stratix 10GX 10M搭載のFPGAベース・プロトタイピング・システムを発売
S2Cは2015年からクラウドベースのFPGAプロトタイピング・システム「Prodigy Cloud Cube」を提供しており、複数の大手SoCベンダを顧客として抱えている。同社のクラウドベースシステムの良いところは、大規模なFPGAプロトタイピング・システムをプライベート・クラウドまたはパブリック・クラウド経由でロケーションを問わず複数ユーザーでアクセスできる点で、ユーザーのリソース管理やFPGAの制御・監視を行う仕組みも用意されている。
「Prodigy Cloud System」の使い方としては、自社の施設に設置するほかにS2Cがホストしている設備をクラウド経由で遠隔利用することも出来る。現在S2Cの設備は海外拠点に置かれているが、ニーズがあれば日本国内にも拠点を設ける準備があるという。
「Prodigy Cloud System」の詳細はこちら
S2C

TSMCがAppleに次ぐ大手顧客Huaweiからの受注をストップ

2020.05.19
2020年5月18日、ElectronicsWeekly.comの記事:
TSMC stops chip sales to Huawei
TSMCは米国政府の中国Huaweiに対する禁輸措置の強化を受けてHuaweiからの新規受注を停止した。
米国政府は、米国製の半導体製品、米国製の半導体製造装置で作られた半導体製品、米国製のEDAを使用して設計した半導体製品について、Huaweiへの輸出を禁止している。
TSMCは米国政府の決定前に受注した製品は出荷できるが、9月中旬以降は出荷が終了する見通し。
Huaweiは、TSMCの売上の15〜20%を占めるAppleに次ぐTSMCの2番目に大きなクライアント。
Huaweiは米国政府の禁止措置の前に、STMicro electronicsと半導体製品の共同設計および共同生産契約を結んでおり、STを利用した米国技術へのアクセス禁止の回避策と見られていた。
ElectronicsWeekly.com

CHIPS AllianceがオープンソースのRISC-Vベースコア「SweRV Core」をアップデート

2020.05.15
2020年5月14日、オープンソースのチップ設計を目指すLinux FoundationのChips Allianceは、オープンソースのRISC-Vベースコア「SweRV Core EH2」および「SweRV Core EL2」の機能強化を発表した。
プレスリリース
 
「SweRV Core EH2」および「SweRV Core EL2」はWestern Digitalが開発したRISC-Vベースのコアで、オープンソースのコアとして開発環境などと共にChips Allianceに提供されている。
「SweRV Core EH2」は世界初のデュアルスレッド商用組込みRISC-Vコアとして、エッジAIやIoT向けの組み込みデバイスをおターゲットにしており、「SweRV Core EL2」は超小型、超低電力RISC-Vコアとして、ステートマシン・シーケンサーや波形ジェネレーターなどのアプリケーション向けに最適化されている。今回Chips Allianceコミュニティによってアップデートされた両コアは誰でも無料で利用できる。
Chips Allianceは、「SweRV Core EH2」および「SweRV Core EL2」の利用に関するウェビナーを2020年5月20日に開催する予定。
Chips Alliance

Foxconn、Socionext、Hailo が共同開発したエッジ向けAI映像解析ソリューション

2020.05.14
2020年5月13日、ファブレス半導体ベンダSocionext、世界最大のEMS Foxconn、イスラエルのAIチップ・スタートアップ Hailoの3社は、共同開発したエッジ向けAI映像解析ソリューションを発表した。
プレスリリース
発表されたエッジ向けAI映像解析ソリューションは、FoxconnとSocionextが共同開発したエッジAIサーバー「BOXiedge」にHailoのディープラーニング・プロセッサー「Hailo-8」を搭載したもので、「BOXiedge」にはSocionextのサーバー向けプロセッサー「SynQuacer」が組み込まれている。
スクリーンショット 2020-05-14 10.35.31.png
「Hailo-8」は最大26TOPSという性能に加え、電力効率が非常に高い推論チップと言われており、同じく電力効率の高さを売りにした「BOXiedge」と組み合わせることで、スタンドアロンのAI推論ノードにおいて業界最高クラスの電力効率を実現。同ソリューションは、20以上のストリーミングカメラの入力フィードの処理と分析をリアルタイムにエッジで実行することができるという。
Hailoは昨年5月に「Hailo-8」のリリースをアナウンスしているが、同チップが搭載されている製品が公にアナウンスされるのは恐らく今回が初。同社は二度の資金調達で累計8000万ドル超を調達しており、投資家にはNECも名を連ねている。
Socionext
Foxconn
Hailo

「Arm TechCon」が「Arm DevSummit」にリニューアル、10/5-9 オンライン開催

2020.05.14
2020年5月12日、Armは同社のイベント「Arm TechCon」のリニューアルを発表した。
プレスリリース
発表によるとこれまで毎年開催されていたArmのイベント「Arm TechCon」は、「Arm DevSummit」という名称に変更され、バーチャル・イベントとして今年10月5日から9日の5日間、オンライン開催される。イベントの参加登録は7月開始の予定。
Armは「Arm DevSummit」へのリニューアルに伴い、その技術プログラムをOS、ファームウェア、ミドルウェア、ランタイム、モバイル・アプリケーション、デバイス・アプリケーションの開発者向けに拡大するという。
「Arm DevSummit」で計画されている技術セッションのトラック構成は以下の通り。
Armは6月9日まで発表論文の募集を行っている。
・AI in the Real World: From Development to Deployment
・Cloud Native Developer Experience
・The Journey to Autonomous
・Creating the Next Generation of Interactive Experiences
・Building the IoT: Efficient, Secure, and Transformative Software Development
・Chip Design Methodology
・Infrastructure of Modern Computing
Arm

月1,000ドルから、設計/検証IPのSmartDVが新たなビジネスモデルを始動

2020.05.13
各種設計/検証IPを手掛けるインドのSmartDVは、検証IPの供給における新たなビジネスモデルを開始した。
同社製品の国内販売代理店である株式会社ネクストリームが発表した。
ネクストリームによるとSmartDVは、業界トップクラスの豊富なラインナップで知られる同社の検証IPを全て1ヶ月1,000ドルで販売する。これまでは業界の大半のベンダと同じくライセンスを1年単位で販売していたが、今後は希望すれば月単位でもライセンスを購入できるようになる。
SmartDVのIPは元々コストパフォーマンスが高い事で知られているが、月単位であれば設計プロジェクトに合わせて更に安く検証IPを利用できるようになる。なおSmartDVは合成可能な設計IPも提供しているが、こちらについては従来通り年単位の販売となる。
SmartDVはここ数年右肩上がりの成長を続けているIPベンダで多種多様な設計/検証IPを展開。全て自社開発のIP製品群は計560種類にも及び、そのラインナップは様々な新規格の登場に合わせて年々増え続けている。
直近SmartDVがリリースしたIP製品は以下の通り。
MIPI RFFE v3.0 仕様に準拠したVIP、デザインIPをリリース
MIPI I3C® v1.1の新規格に準拠したVIP、デザインIPをリリース
DDR5およびLPDDR5 SDRAMコントローラの新規デザインIPをリリース 
SmartDVの検証IPリストはこちら
なお金額は明らかにされていないが、SmartDVは2019年に過去最高売上を記録。北米、欧州、日本、韓国、中国で計11社の新規顧客を獲得したとしている。
SmartDV
株式会社ネクストリーム

Synopsysがマルチダイ・システム設計の新製品「3DIC Compiler」を発表

2020.05.13
2020年4月28日、Synopsysは新製品「3DIC Compiler」を発表した。
プレスリリース
「3DIC Compiler」は、業界初となる2.5Dおよび3Dのマルチダイ・システムの統合設計環境で、グラフィカルな環境で複雑なマルチ大・システムのアーキテクチャ検討、インプリメンテーション、信号/消費電力/熱の最適化、サインオフ解析を実行できる。
発表に寄せられたSamsungのコメントを借りると、「3DIC Compiler」は2.5D/3Dマルチ・ダイ開発の全工程の中に従来存在したツール間の境界線を取り払うものだという。
3dic.jpg.imgw.560.336.jpg
「3DIC Compiler」は、IC設計環境「Synopsys Fusion Design Platform」で用いているデータモデルをベースに開発されているということで、先進の3DIC構造に対して実行容量やパフォーマンスのスケーラビリティを実現。特徴的な機能の一つとして、従来のICパッケージツールでは実現できなかった数十万のダイ間相互接続をサポートしている。また、パートナーAnsys社の解析ツール「RedHawk」と連携しており、電源の整合性、熱、ノイズを意識した最適化も可能。これによりデザインと解析のイタレーションを削減し短期間でのデザイン収束を実現できるということだ。
Synopsysは「3DIC Compiler」をICパッケージングの新時代に向けた戦略的製品と位置付けており、従来のICパッケージ設計ツールの置き換えを狙っているようだ。
日本シノプシス合同会社

Armのスタートアップ向け「Flexible Access」プログラム

2020.05.08
2020年4月30日、Armは「Arm Flexible Access for Startups」の提供開始を発表した。
プレスリリース
「Arm Flexible Access for Startups」は、Armが2019年6月に発表した「Arm Flexible Access」をスタートアップ企業向けに拡張したものでポイントは以下の通り。
・対象企業は調達資金500万ドル以下のスタートアップ
・70以上のArm製品を無料で利用可能。但しプロトタイプ・チップまでの利用で製品化に伴いライセンス料が発生する
・対象製品は、Arm Cortex-A、-R、-Mプロセッサ、Mali GPU、ISP、およびその他のSoCビルディングブロックなど
・サポート、トレーニング、開発ツールにも無料でアクセス可能。
Armは同プログラムの利用で製品の市場投入までの期間を6〜12か月短縮できる可能性があるとしている。
「Arm Flexible Access for Startups」の登録はこちら
なお先行して開始した「Arm Flexible Access」のユーザー数は既に40社を超えているという。
Arm

Wave Computingは破産手続きを経て会社の立て直しを目指す

2020.05.08
2020年4月28日、Wave Computingは、米破産法第11章に基づく破産手続きを開始したことを発表した。
プレスリリース
同社の破産手続きについては先行して噂が飛び交っていたが噂通りの結果となった。
関連ニュース:2億ドル以上の資金を集めMIPSを買収したAIチップベンチャーWave Computiongが破産申請
しかしWave Computingの破産手続きは負債を再構築し企業を再編する前向きなもので、既にDIPファイナンスと呼ばれる融資により運転資金2790万ドルを確保。それにより事業活動は継続されるという。
Wave Computingは新たな役員を受け入れ事業の立て直しを図るとしているが、社員200人以上という事業規模を維持するのは困難と思われ、買収によって子会社となっているMIPS Technologiesが今後どうなるかも定かではない。
Wave Computing

今年のDesign Automation Conferenceは新型コロナの影響でバーチャル開催へ

2020.05.08
2020年5月2日、第57回Design Automation Conference(DAC2020)の実行委員会は、サンフランシスコで開催予定だったDAC2020をバーチャル開催に変更することを決定した。
プレスリリース
DACは、電子回路、システム設計および設計自動化に関するカンファレンスとして毎年開催されていたが、バーチャル開催となるのは今回が初。新型コロナウイルスによる影響を鑑みての決定となる。
今年のDAC2020では、自立システム、ハードウェア設計、EDA、組み込みシステム、IP、機械学習/AI、セキュリティ、クラウド設計と大きく8つのテーマでプログラムが構成される予定。
DAC2020実行委員会は、今後2週間のうちにバーチャル開催への出展に関する詳細をアナウンスする予定としている。
DAC公式ホームページ

2020年3月の世界半導体市場は前年比6.9%増の348億ドル、2四半期連続で前年比増

2020.05.07
2020年5月4日、米SIA(Semiconductor Industry Association)は、2020年3月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2020年3月の世界半導体売上は、前年同月比6.9%増、前月比0.9%増の348.5億ドルという結果だった。SIAによるとこの3月の売上には新型コロナウイルスの影響はまだ現れていないとの事。2月に1年3ヶ月ぶりに売上が前年実績を上回り3月もそれに続く形となったが、この先は新型コロナウイルスの影響で大きな影響が出る可能性が高い。
四半期の売上でみると2020年Q1(1-3月)の売上は1,046億ドルで、前月比3.6%減、前年比6.9%増となっている。
SIA2020-03.png
2020年3月の売上を地域別で見ると、北米が前年比21.8%と大きく成長。欧州以外の各地は全て前年比増を達成した。
日本市場の3月の売上は28.8億ドルで、売上を円ベースで換算すると前月比約12.2%減、前年比約2.5%減の約3,090億円となる。
SIA2020-03-02.png
※SIA

2020年Q1の世界半導体売上ランキング、HiSiliconが中国企業として初のトップ10入り

2020.05.07
2020年5月6日、IC Insightsのレポート:
HiSilicon First China-Based Semi Supplier to be Ranked in Top-10
半導体関連を専門とする市場調査会社IC Insightsは、2020年Q1の世界半導体売上ランキングを発表した。
トップ10企業の合計売上高は前年比16%増の約725億ドルと大きく伸びた。市場全体の成長率は7%であり上位企業が市場の成長を牽引した。
トップ10のうち上位8社は昨年と変わらぬ並びで、Intel, Samsung, TSMCのトップ3は大きく売り上げを伸ばした。中でもTSMCは前年比45%増と大きく成長した。TSMCの売上増の原動力はAppleとHiSiliconのスマホ向け7nmチップの製造で、TSMCの売上に占めるHiSiliconのシェアは年々伸びている。2019年はTSMCの売上の14%がHiSilicon, 23%がAppleによるものだった。
今回初めてトップ10入りを果たしたのはNVIDIAとHiSiliconの2社。両社の成長によりInfineonとキオクシア(東芝)がトップ10から脱落した。9位NVIDIAは前年比37%増の成長、10位HiSiliconは前年比54%増と成長率トップで中国企業として初のトップ10入りを果たした。
IC Insights

ICユニットの出荷数が2年連続減少するという史上初の事態になる予測

2020.04.23
2020年4月22日、IC Insightsのレポート:
IC Unit Shipments Forecast to Display First-Ever Back-to-Back Decline
半導体関連を専門とする市場調査会社IC Insightsの予測によると、今年の世界半導体市場におけるICユニットの出荷数は前年比マイナス3%となる見通し。昨年のICユニット出荷数は前年比マイナス6%であり、2年連続でマイナスとなるのは史上初だという。
そもそもICユニット出荷数が減少した年は2019年を含めて過去5回しかなく、2013年から2018年にかけては順調に出荷数が増加していた。出荷数が減少した過去5回のうち2019年を除く4回は、その翌年に出荷数がプラスに転じている。
スクリーンショット 2020-04-23 5.52.50.png
※画像はIC Insights Web上のデータ
同記事では出荷数減少予測の根拠については特に触れていないが、新型コロナウイルスによる世界経済への影響が考慮されていることは間違い無いだろう。
IC Insights

Cadence売上報告、2020年Q1は前年比10.9%増の6億1800万ドルで過去最高

2020.04.22
2020年4月20日、Cadenceは、2020会計年度第1四半期(2020年1-3月)の売上を報告した。
プレスリリース
Cadenceの2020年Q1売上は、前年比約10.9%増、前期Q4比約3%増の6億1800万ドル、営業利益は前年比約2.4%増の1億2400万ドルだった。(※GAAP基準による会計結果)
このQ1実績は同社の四半期売上記録として過去最高。四半期売上記録の更新は前Q4に続いて2四半期連続となる。
Cadence2020Q1.png
CadenceはこのQ1に以下のような製品及びニュースを発表している。
・複数プロトコルをサポートする業界初のPHY専用検証IPを発表
・3次元電磁界シミュレータのIntegrand Softwareを買収
・National Instrumentsの傘下AWR Corporationの買収を完了
Cadenceは2020年Q2の売上を5億8000万-6億ドル、2020年の年間成長率を10%程度と予測している。
Cadence株価推移
日本ケイデンス・デザイン・システムズ社

2019年世界IP市場は前年比5.2%増の成長、IPnestによるIP市場分析

2020.04.22
2020年4月21日、Electronics Weekly.comの記事:
Design IP market grew 5.2% last year
市場調査会社IPnestの報告によると、2019年世界IP市場は前年比5.2%増の成長を記録。
市場シェア40.8%で首位のArmはライセンス収入が13.8%増だったが、ロイヤリティ収入は6%減少した。Armはスマートフォンの出荷減によりロイヤリティが減少したと説明している。
IPnestはRISC-VがArmの売上に影響を与えるとすると2年後としている。つまり今のところRISC-VベースのIPがArmのシェアを奪っている訳ではない。
※関連ニュース:SynopsysのIPライセンスの売上額がArmを上回る
市場シェア18.2%で2位のSynopsysは前年比13.8%増の成長を見せた。
Synopsysの成長の原動力はインターフェイスIPで同分野は前年比19.3%増の成長だった。
市場シェア5.9%で3位のCadenceは前年比22.9%の成長を見せた。Synopsys同様インタフェースIPとDSP IP(Tensilica)が好調だった。
製品別の市場シェアを前年比でみると、プロセッサIP(CPU,GPU,DSP)は53.5%から51%に減少、インターフェースIPは20.3%から22.1%に増加した。2016年時点では、インターフェイスIPのシェアは16.9%、プロセッサIPのシェアは63.8%だった。
インターフェースIPは成長率と市場シェアの両面で最大の伸びを示し、2019年の売上額は8億7000万ドルに達した。同分野の売上はこの10年間で4倍に増加した。IPnestはこの成長はニーズの変化によるものと指摘。モバイルSoCのプロトコルのニーズから、データセンターやネットワーク、4G/5G基地局などにおけるメモリコントローラ、PCIe、Ethernet、D2D(Die-to-Die)プロトコルなどのニーズが増加しているとしている。
IPnestは、IP市場の成功企業にはSynopsys,Cadenceなどの総合デパートタイプの企業と特定分野の専門企業の2種類があるとしており、専門企業の成功例として下記の企業を挙げている。
Arteris:NoC(Network on Chip)のリーダー、前年比60%成長、2019年の売上は3000万ドル超
Silicon Creations:AMS分野のリーダーでSynopsysを抜いて同分野のトップ
Alphawave:高度なSerDesで2019年の売上2500万ドル(設立2年目)
SST:NVM IP分野のリーダー、2019年の売上は1億ドル超で2位の倍以上
Electronics Weekly.com

2億ドル以上の資金を集めMIPSを買収したAIチップベンチャーWave Computiongが破産申請

2020.04.21
2020年4月20日、SemiWikiの記事:
Wave Computing and MIPS Wave Goodbye
Wave Computingはクローズされるという情報がある。
既に同社は全従業員を解雇し、連邦破産法第11条の適用を申請したと報じられている。
まだ公式アナウンスは出ていない様子で正確なところは定かではないが、開発していた「DPU(Dataflow Computing Unit)」のパフォーマンスに問題があったという推測や投機的な理由で撤退という話が記事では紹介されている。
Wave Computingは2008年設立のいわゆるAIチップベンチャーで、米カリフォルニア州サンタクララを拠点としてワールドワイドに200人以上の社員を抱えていた。
同社は独自のデータフロー技術を用いたディープラーニング・システム「WaveFlow」に基づいた「DPU(Dataflow Computing Unit)」と呼ぶプロセッサを開発しており、計5回の資金調達で累計2億ドル以上を調達していた。報じられている最後の資金調達は2018年11月で8600万ドル。同社の投資家にはSamsungなども含まれていた。
加熱するAIチップブームの中で資金調達額で突出していたのは、Wave Computing, Graphcore, Samba Novaの3社でWave Computing以外の2社は累計4億5000万ドルを調達している。
Wave Computingは2018年6月にMIPS買収を発表し世間を驚かせた。その後MIPSのオープン化を発表しまた世間を驚かせたが、オープン化戦略は失敗に終わり2019年11月にオープン化活動を終了していた。
昨年9月には当時のCEOがわずか4ヶ月で解任されたことが報じられており社内のゴタゴタが既に現れていた。その時点で買収したMIPSを売りに出すという噂も出ていたが、MIPS事業の引受先はまだ決まっていないようだ。MediaTekやMobileyeがMIPSのビッグユーザーだったとされている。
Wave Computingの「DPU」は一般的なディープラーニング・アクセラレータのようなホストCPU+コプロセッサというアーキテクチャではなくプロセッシング・エレメントを1チップあたり16,000個搭載する単一の巨大なプロセッサアレイの構造をとる。Wave Computingは「DPU」を用いることでデータセンターでの学習からエッジの推論に対応する共通のAIプラットフォームを実現できると主張していた。
SemiWiki
Wave Computing

Synopsysが組み込み向けの新型64/32ビット・プロセッサ「ARC HS5x/HS6x」ファミリを発表

2020.04.15
2020年4月9日、Synopsysは、プロセッサIPの新製品「DesignWare ARC HS5x/HS6x」ファミリを発表した。
プレスリリース
新製品「ARC HS5x/HS6x」ファミリは既存の「HS3x/HS4x」ファミリの上位にあたる後継製品で、「ARC HS6x」は同社初となる64ビット・スーパースカラ・プロセッサとなる。(ARX HS5xは32ビット)いずれの製品も新しい命令セットアーキテクチャ「ARCv3」を実装しており最大12コアまで拡張することが可能。16nmプロセス実装で動作周波数1.8GHzの場合、コアあたり最大8750DMIPSという性能を発揮する。これは同社ARCプロセッサ・ファミリー製品として最高の性能となる。
ちなみに同じく16nm/1.8GHz、ワーストケースでは5400DMIPSということで、MHzあたり3.0DMIPSというのはArm Cortex-55を上回る性能。また同じ条件で9180CoreMarkという性能指標も示されているが、5.1CoreMark/MHzという性能はArm Cortex-M7を上回っている。
ARC_HS6x.png
※画像はSynopsys社web上のデータ
「ARC HS5x/HS6x」両新製品の特徴・ポイントは以下の通り。
・32ビット・プロセッサのラインナップ:HS56, HS57D, HS58, および各マルチコア・バージョン
・64ビット・プロセッサのラインナップ:HS66, HS68, および各マルチコア・バージョン
・ターゲット・アプリケーション:
 SSDコントローラー、ネットワークコントローラー、自動車(オートパイロット、インフォテインメントシステム)など
・最大12コア構成および最大16のハードウェア・アクセラレーターと接続可能
・データ転送速度 800GB/secのプロセッサー内キャッシュ・コヒーレント接続
・10ステージ・デュアル・パイプライン
・新しい128ビット・ベクター浮動小数点ユニット(F16、F32、F64オペレーションをサポート)
・32/64ビット両方の命令を実行できる64ビット・パイプラインとレジスタファイル(HS6x)
・64ビットの仮想アドレスと52ビットの物理アドレスをサポート(HS6x)
・128ビットのロード/ストア(HS6x)
・最大メモリ容量4.5Petabyte(HS6x)
大幅な性能強化と消費電力性能の高さを売りにした「ARC HS5x/HS6x」ファミリ製品は、今年Q3から提供される予定。
日本シノプシス合同会社

AIチップベンチャーのGroqがSynopsysのエミュレーター「ZeBu Server 4」を採用

2020.04.14
2020年4月13日、SynopsysはAIチップベンチャーGroqが同社のエミュレーター「ZeBu Server 4」を採用した事を発表した。
プレスリリース
GroqはGoogleのTPUの開発メンバーが創業したAIチップベンチャーで、同社の開発した「TSP(Tensor Streaming Processor)」は調査会社Linley Groupに最速のディープラーニング・アクセラレータと評されている。(今年1月時点)
SynopsysによるとGroqは「ZeBu Server 4」を用いて数十億ゲート規模の「TSP」のフルチップ・エミュレーションを実行。数十億ものAIワークロード・サイクルを実行して、Groqのソフトウェア定義型のTSPアーキテクチャを検証したという。
Synopsysのエミュレーター「ZeBu」シリーズは、ここ数年「売れ線商品」の一つとしてワールドワイドで実績を伸ばしていると聞く。フラッグシップ製品「ZeBu Server 4」は、業界最速のパフォーマンス(競合の2倍)、最小のフットプリントと消費電力(競合の10分の1)をうたい文句にしている。
Groq
日本シノプシス合同会社

CEVAが既存IPを組み合わせた作った高性能センサーハブIPファミリ「SensPro」を発表

2020.04.14
2020年4月9日、CEVAは新たな高性能センサーハブIPファミリ「SensPro」を発表した。
プレスリリース
今回CEVAが発表した「SensPro」は、高性能センサーハブとしての利用をターゲットとした新しいIPファミリで、同社の既存技術である「NeuPro(AIプロセッサ」、「XM6(画像処理プロセッサ)」、「BX2(スカラーDSP)」を組み合わせた電力効率を追求した新たなアーキテクチャで実現されている。
CEVA_2020DSP01.png
異なる個々のIPを単一の処理ブロックとして組み合わせる今回の「SensPro」のアプローチはCEVA曰く業界初の試みで、同社は「SensPro」を「高性能センサーハブDSP」と呼んでいる。
「SensPro」のユニークな点は、スカラー処理とベクトル処理が1つのIPとおして統合されており、浮動小数点演算も処理できるというところ。CEVAによると「SensPro」の浮動小数点演算性能は、7nmプロセス実装の最大動作周波数1.6GHzの場合で400GFLOPs(64x単精度MACまたは128x半精度MAC)だという。
また、最大1024の8x8 MACを構成できる固定小数点ベクトル処理ユニットは8x8推論で最大3TOPS、8x2バイナリ・ニューラルネットワークの実行モードでは、最大20TOPSの性能を発揮できるとしている。
なお「SensPro」には、1024ビットのストア・ユニットに加えて超ワイドな2048ビットのロード・ユニットが採用されており、400GB/秒のデータ入力と200GB/秒の出力に対応している。
CEVA_2020DSP02.png
CEVA_2020DSP03.png
「SensPro」ファミリ製品としては下記の3品種がラインナップされており、今年のQ3から一般提供が開始される予定。
・SP250
 イメージング、ビジョン、サウンドなどのアプリケーション向け
 CEVA-BX2スカラーDSPと256個の8x8 MACを備えた単一ベクトルユニットの組み合わせ
・SP500F
 SLAMアプリケーション向け
 CEVA-BX2スカラーDSPと512個の8x8 MACと64個の64bit FP-MACを備えた単一ベクトルユニットの組み合わせ
・SP1000
 AIアプリケーション向け
 CEVA-BX2スカラーDSPと1024個の8x8 MACとバイナリネットワークを備えたデュアル・ベクトルユニットの組み合わせ
CEVA_2020DSO04.png
CEVA

Aldecのハード設計向け要求管理ツール「Spec-TRACER」がIBM「DOORS Next」と連携

2020.04.13
2020年4月8日、論理シミュレータ他、各種ASIC/FPGA設計ツールを手掛ける米Aldecは、同社のハード設計向け要求管理ツール「Spec-TRACER」がIBMの要求管理ツール「DOORS Next」と連携に向け機能を強化したことを発表した。
プレスリリース
発表によると機能強化によりAldecの「Spec-TRACER」はIBMの「DOORS Next」とのデータ交換が可能となった。
「Spec-TRACER」は、発売当時の2013年から業界デファクトツールと言われているIBMの要求管理ツール「DOORS」とのデータ交換をサポートしているが、今回「DOORS」の進化版である「DOORS Next」のサポートに至った。「DOORS Next」は2019年にリリースされた製品でISO26262などの安全規格の要求管理も可能だという。
Aldecの「Spec-TRACER」はハードウェア設計における設計要求のトレーサビリティ管理を実現するツールで、要求仕様をインポートし 、デザインおよびテストに対して各要求がどのように実装されているかをキャプチャできるほか、要求仕様の変更の影響分析や要求カバレッジ分析なども可能で、トレーサビリティレポートを自動生成する事もできる。
「Spec-TRACER」は、「DOORS Next」の成果物とトレーサビリティ・データをキャプチャするだけでなく、要求とハードウェア設計データ間の関係を「DOORS Next」にエクスポートして戻すことができるため、システムエンジニアは要求カバレッジ・ステータスをハードウェアも含めて監視することが可能。Aldecは、システムとハードウェアのライフサイクル・データ間の完全なトレーサビリティを実現できるとしている。
Aldecは現在、最新の「Spec-TRACER 2020.3」の評価版をWeb上で公開中
※アルデック・ジャパン株式会社

新型コロナウイルスの影響で今年の世界半導体市場はプラス成長からマイナス成長予測へ

2020.04.10
2020年4月9日、IC Insightsの記事
Global IC Market Forecast Lowered From 3% to -4%
半導体関連を専門とする市場調査会社IC Insightsは、今年の世界半導体市場の予測を更新した。
同社は年初の1月時点で2020年はプラス8%成長と予測していたが、その後中国で発生した新型コロナウイルスの影響を踏まえ3月時点で予測をプラス3%成長に下方修正。そして新型コロナウイルスが世界各地に拡がったこと受け、今年は4%のマイナス成長になる見通しと再び予測を下方修正した。
IC Insightsによる集計値とは異なるが、SIAの報告によると2019年の世界半導体市場は前年比約12%減の4120億ドルだった。IC Insightsの予測通りに4%のマイナス成長となった場合、今年の世界半導体市場は4000億ドルを割り込むことになるが、果たしてその程度の落ち込みで済むのだろうか。
IC Insights