NEWS

生成AIの高速推論を実現するGroqがDefinitive Intelligence社を買収してクラウドベースのサービスを開始

2024.03.06
2024年3月2日、AI推論チップを手がけるスタートアップGroqは、Definitive Intelligence社を買収しクラウドベースのサービス「GroqCloud」を開始したことを発表した。
プレスリリース
GroqはGoogleのTPUの開発者が立ち上げたスタートアップとして有名。同社の提供する「LPU」と呼ぶ独自の推論アクセラレータを用いた「Groq Systems」は、LLMやその他生成AIアプリケーションをGPUよりも10倍高速に処理できるとされている。それは外部のDRAMにアクセスしない独自のアーキテクチャ(オンチップSRAMを利用)によるものだが、コスト面で優位かどうかは定かではない。(Groqはエネルギー効率では優位としている)
今回Groqは生成AI技術をベースとしたサービス会社「Definitive Intelligence」を買収し、「GroqCloud」と呼ぶ新たな事業部門を設立。クラウドベースで「LPU」にアクセスできるサービスを開始した。
Groqによると「GroqCloud」を利用することでユーザー企業はセルフサービスで驚異的な速度の新しい生成 AI アプリケーションを展開できるようになるとのこと。同サービスは2月19日にソフトローンチして以来、すでに数千人の開発者が利用し始めているという。
なお推論アクセラレータ「LPU」を搭載した「Groq Systems」はハードウェアとして個別販売も行われている。
Groq
Definitive Intelligence

2024年1月の世界半導体市場は前年比15.2%増の4763億ドル、3ヶ月連続で前年実績超え

2024.03.06
2024年2月5日、米SIA(Semiconductor Industry Association)は、2024年1月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2024年1月の世界半導体売上は、前年同月比約15.2%増、前月比約2.1%減の476.3億ドルだった。世界半導体市場は2023年3月以降11ヶ月連続で売り上げ増を継続中。11月以降の直近3ヶ月は全て前年実績を上回っている。
2024年の半導体市場については以下の通り各関係機関が軒並み2桁成長を予測しており、現在のペースで校長が続けば年間6000億ドルを超える可能性がある。
・WSTS秋季予測 2023年11月28日発表 前年比13.1%増の成長で5884億ドル
・Gartner予測 2023年12月4日発表 前年比17%増の成長で6240億ドル
・DigiTimes予測. 2024年3月発表 前年比17%増の成長で6000億ドル
スクリーンショット 2024-03-06 7.26.54.png
2024年1月の世界半導体売上を地域別に見ると、欧州および日本市場を除く全ての地域で前年比2桁以上のプラス成長を達成。中でも北米と中国市場の伸びが力強くともに前年比20%増を超える成長を見せた。
2024年1月の日本市場の売上を円ベースで換算すると前月比約2.4%減、前年比約5.3%増の約5,382億円。円ベースの売上額は為替レートの影響が大きく月替わりの変動を見せているが、ドルベースで見ると前年実績割れが依然続いている。
スクリーンショット 2024-03-06 8.15.07.png
SIA

AccelleraがアップデートしたSystemVerilog標準規格を公開、Verilog-AMSもアップデート

2024.03.05
2024年3月4日、EDAおよびハードウェア設計言語の標準化団体Accellera Systems Initiativeは、アップデートしたIEEE 1800-2023 SystemVerilog標準規格を公開
プレスリリース
Accelleraによるハードウエア記述言語SystemVerilogの最新のアップデートは、昨年末にAccelleraの標準化委員会で承認されており、今回IEEEの無償アクセスプログラムを通じて公開された。本来IEEE標準規格のLRMを入手するには費用がかかるが、Accelleraがスポンサーとなることで誰もが無償でIEEE 1800-2023 SystemVerilogのLRMを入手できるようになっている。なお今回のアップデートでは、ハードウェアのモデリングやテストベンチの作成に関する改編が含まれている。
IEEE 1800-2023の入手先:
https://standards.ieee.org/ieee/1800/7743/
またAccelleraはミックスドシグナル設計向けのハードウェア記述言語Verilog-AMSのアップデートも併せて発表。今回のアップデートではアナログ構造記述の明確化、強化が行われているという。
Verilog-AMSの入手先:
https://www.accellera.org/downloads/standards/v-ams
Accellera Systems Initiative

ラピダスがTenstorrentからチップ製造を受注したというのは誤り

2024.03.01
2024年2月27日、RapidusとカナダTenstorrentの記者会見を受けて報道各社が「ラピダスがTenstorrentと協業」、「ラピダスが顧客獲得」という内容で報じているが、どうやらそれは本質ではないようだ。
海外メディアAnandtechの記事によると、今回の話のポイントは以下の通り。
・ラピダスの研究開発を支える技術研究組合「LSTC(最先端半導体技術センター)」がTenstorrentのプロセッサIPを取得(購入)
・TenstorrentからLSTCに提供されるプロセッサIPは「Ascalon」と呼ぶデータセンターグレードの汎用プロセッサIP
・TenstorrentはLSTCによる「Ascalon」を用いたエッジ向けの推論アクセラレータの開発にも協力する
・LSTCが開発したエッジ向けの推論アクセラレータは将来ラピダスの2nmプロセスで製造する
つまり今回の話はラピダスが顧客を獲得したという話ではなく、ラピダスの開発子会社とも言えるLSTCがTenstorrentからIPを購入すると同時に推論アクセラレータ開発の協力も依頼したというのが本質。LSTCが開発したチップをラピダスで製造するのは当たり前で、Tenstorrentが自社製品の製造先としてラピダスを選択したという話ではない。
Tenstorrentが今回の話とは別でラピダスと自社製品の製造について話をしている可能性はあるが、未だ立ち上がっていない歩留まりすら分からないプロセスに製造を決め打ちするということは、よほどの特典がない限り考えられない。TenstorrentからするとLSTC/ラピダスは現状単なる「いいお客さん」だ。
LSTC
Rapidus
Tenstorrent

AI推論チップで存在感を示す韓国DEEPXが約135億円を追加調達へ

2024.02.28
2024年2月26日、Bloombergが報じたところによると、韓国のAIチップ・スターアップのDEEPXが約135億円の追加の資金調達を進めているとのこと。
AI Chip Startup DeepX Nears $90 Million in Fresh Funding
記事によるとDEEPXの企業価値は、新たな調達ラウンドにおいて7000億ウォン台半ば(約800億円)と評価される見通しだという。
DEEPXは2018年に設立した韓国のAIチップ・スターアップ。建設機械や重機の自動運転システムを手掛ける同じDeepXという名前の会社が日本にあるが特に関係はない。
DEEPXの創業者Lokwon Kim氏は、韓国の国立研究機関「KETI(Korea Electronics Technology Institute) 」出身のハード設計者で前職ではAppleでA10,A11,A12 SoCの開発に携わっていた人物。
DEEPXのソリューションは、独自のコア技術「ultra-gap source technology(詳細は不明)」をベースとしたAI推論アクセラレーターで、GPU並みの推論精度を低消費電力で実現。データセンター向け、ロボティクス向け、自動車向け、コンスーマ・エレクトロニクス向けの各アプリケーション向けに最適した4つの製品を展開している。これら製品はSamsungファウンドリで既に製品化されており、既にワールドワイドで40社以上の顧客を持つという話。当然ながらソフトウェアなどの開発環境も一通り揃えており現在進行形でビジネスを進めている。
DEEPXの各製品は今年1月にラスベガスで開催されたCESのInnovation Awardsで、Embedded Technology部門、  Computer Hardware部門、Robotics部門の3部門で受賞。既に実績もあり投資家の期待も高いようだ。
65574a30d925e2715331.png
DEEPX

元エルピーダメモリ社長、坂本幸雄氏が死去。享年76歳

2024.02.28
2024年2月14日、元エルピーダメモリ(現マイクロンメモリジャパン)社長の坂本幸雄氏が心筋梗塞により他界した。
1947-2024 享年76歳、日本体育大学卒業後、日本テキサス・インスツルメンツに入社。倉庫番から副社長まで登り詰め、その後UMC Japan、エルピーダメモリの社長を歴任した。エルピーダメモリが経営破綻した後もDRAM業界で活動を続け、2022年に新興DRAMベンダSwaySure Technologyの最高戦略責任者に就任していた。
坂本氏はエンジニア向けのセミナーイベント「Design Solution Forum 2015」にて基調講演に登壇。当時の講演タイトルと内容は以下の通り。
心よりご冥福をお祈りします。
speaker.png
ーーー
講演タイトル:次に生き残る半導体メーカーは
現在東芝問題やらシャープやらあちこちにほころびが出てきてます。日本も早く過去の膿を出してもう一度本当の意味で成長軌道に乗せていく必要が あります。そこには痛みもあるし不愉快なこともありますが、この狭い国土の中で一億人以上の人口を抱えている訳ですから、その方々が安心できる経済成長をしていかなければなりません。政治が悪いとかなんだとか言い訳ばかりでは何も前に進みません。少なくとも企業経営をしている方々が襟を正してやっていく必要があります。
私のプレゼンテーションは主に世界から見た日本の現状について話していこうと思っています。
ーーー

SwaySure Technology
Design Solution Forum 

Synopsys売上報告、2024年度Q1は前年比22%増の16億4900万ドルで四半期記録更新を継続

2024.02.26
2024年2月21日、Synopsysは、2024会計年度第1四半期(23年11月-24年1月)の四半期決算を報告した。
プレスリリース
発表によるとSynopsysの2024会計年度Q1の売上は、前年同時期比約22%増、前Q4比約3.1%増の16億4900万ドル、営業利益は前年比約65%増の4億4190万ドルだった。(※GAAP基準による会計結果)
このQ1売上結果はQ4売上報告時の予測に即したもので同社の四半期売上記録を更新した。Synopsysは直近6四半期連続で売上記録を更新している。
スクリーンショット 2024-02-26 10.31.16.png
SynopsysはQ1(23年11月-24年1月)において、以下のような発表を行なっている。
RISC-Vベースの新型プロセッサIP「ARC-V™」を発表
Microsoftとのコラボレーションでハード設計に会話型の生成AI技術を導入
Ansysを買収、取引額は350億ドル相当
自動車メーカーを中心に12社で「自動車用先端SoC技術研究組合」を設立
Synopsysは、2024年度Q2(2月-4月)の売上を15億6000万-9000万ドル、2024会計年度の売上合計を65億7000万-66億3000万ドルと予測している。
Synopsys株価推移
日本シノプシス合同会社

Blue Cheetah Analog Designというチップレットで注目の会社

2024.02.22
2024年2月6日、ファブレス半導体ベンダTenstorrentとインターコネクトIPを手掛けるBlue Cheetah Analog Designは、TenstorrentがAIおよびRISC-Vチップレット・ソリューション向けにBlue Cheetahのダイツーダイ・インターコネクトIPのライセンスを取得したことを発表した。
プレスリリース
TenstorrentはJim Keller氏率いるAIチップ・ベンダとして有名だが、Blue Cheetahは新興のIPベンダで日本ではあまり知られていない。同社は2018年創業で本拠地はカリフォルニア州サニーベール。創業者でCEOのElad Alon氏はスタンフォード大出身でUCバークレーの教授、共同創業者でCOOのTom Kelly氏は元Cadenceのアナログ/ミックスシグナルIC設計部門のトップで、同社には同じくCadenceアナログ部門出身のメンバーが複数名所属している。
スクリーンショット 2024-02-22 6.35.19.png
Blue Cheetahの提供するインターコネクトIPソリューションは、チップレット設計におけるダイツーダイ相互接続インタフェースの構築において活用されるもので、独自のジェネレータ・テクノロジーにより、パッケージング・タイプ、データ・レート、I/O 構成、プロセスなどに合わせて2つのダイ間のNoCとNoCを繋ぐ低遅延の物理層(PHY)およびリンク層カスタム・インタフェースを素早く構築することが可能。チップレット向けの相互接続仕様「Open Compute Project (OCP) Bunch of Wires (BoW) 」と「Universal Chiplet Interconnect Express (UCIe) 」の両方をサポートし、現在は4nm、5nm、7nm、12nm、16nmプロセス・テクノロジーに対応している。
 
TenstorrentのJim Keller氏は、Blue CheetahのIPを採用することで、自社だけでなく顧客やパートナーのチップレット・ベースのAIおよびRISC-Vソリューションの開発を加速することを目指すとコメントしており、Blue Cheetahとの提携によりチップレット・ベースのエコシステムを育成することに期待を寄せている。
チップレット設計は今後の半導体製品開発を変える手法として注目を集めているが、チップIPをプラグランドプレイで自由に接続してパッケージ化するという理想形には相互接続仕様の標準化も含めてまだまだ程遠い。チップレット黎明期の現状ではBlue CheetahのようなチップIPの相互接続を手助けしてくれるソリューションが必要で、Blue Cheetahはチップレット界隈の貴重なプレーヤーとして知名度を上げている。
Blue Cheetah Analog Design
Tenstorrent

Siemens EDAがハードウェア・ベース検証の新製品「Veloce CS」シリーズを発表

2024.02.21
2024年2月20、Siemens Digital Industries Softwareは、ハードウェア・ベース検証の新製品「Veloce CS」シリーズを発表した。
プレスリリース
今回発表された「Veloce CS」シリーズ製品は下記の3品種。
・ Veloce™ Strato CS
新型のエミュレーターでSiemensオリジナルの7nmアクセラレータ「Crystal」を搭載。 
4,000万ゲートから400億ゲート以上までデザイン容量を拡張可能。
従来製品「Veloce Strato」と比較してエミュレーション・パフォーマンスが最大5倍に向上。
・Veloce™ Primo CS 
FPGAベースのプロトタイピング・システムでVersal Premium VP1902 FPGAを搭載。
4,000万ゲートから400億ゲート以上までデザイン容量を拡張可能。
・Veloce™ proFPGA CS ハードウェア。
FPGAベースのプロトタイピング・システムでVersal Premium VP1902 FPGAを搭載。
FPGA1個から数百個までと拡張性が高く、デスクトップユースも可能。
siemens-veloce-cs-newsroom-1280x720.jpg
「Veloce Strato CS」と「Veloce Primo CS」は両方とも同じオペレーティング システム上で実行され、プラットフォーム間をシームレスに移動する自由を提供しながら優れた一致性を実現。また、低電力、優れた冷却性能、コンパクトな設置面積といった最新のデータセンター要件に完全に準拠したモジュラー・ブレード構成で簡単に設置して利用できるという。
なお、「Veloce CS」のソフトウェア環境は全てのプラットフォームで共通利用可能。ハードウェア・システムを含めてクラウド対応の提供も行われるようだ。
製品の一般リリースは2024年夏の予定。
シーメンスEDAジャパン株式会社

AIプロセッサーの英Graphcoreが身売り検討の危機?

2024.02.20
2024年2月18日、英Telegraphが報じた英AIチップベンチャーGraphcoreに関する記事:
British AI champion explores foreign sale
データセンター向けのAIプロセッサ「IPU-Processor」を手掛ける英Graphcoreが身売りを検討している。却先候補として名前があがっているのは、Arm、Softbank、OpenAIなど。
同社はBosch, Dell, Samsung, BMW, Microsoftなどから計7億ドル以上の資金を集めていたが、昨年末時点での手元資金は1億5,700万ドル。今年5月までに更なる資金調達が必要な状態にある。社の2022年度の損益は2億ドル以上、売上はわずかに270万ドルだった。
Graphcoreの評価額は2020年時点で28億ドルだったが、直近のある試算では5億2800万ドル。この評価額は売却を期待した投資家が同社株の価値をつり上げた結果のようだ。
Graphcoreの「IPU-Processor」は、GPUの置き換えを実現する高性能低消費電力プロセッサーとして期待を集め、2021年には日本法人も設立されていたが、ビジネスは思うように進んでいないようだ。記事では米国の中国規制による影響が触れられているが、生成AIの飛躍的な普及でデータセンター向けのAIチップ市場がNVIDIA一極となってしまった影響も大きいだろう。
Graphcore

NVIDIAが300億ドル規模のカスタムAIチップ市場に参入?

2024.02.16
2024年2月10日、ロイター通信の記事:
Nvidia pursues $30 billion custom chip opportunity with new unit
ロイター通信によると、NVIDIAはクラウドコンピューティング企業など向けに、先進的なAIプロセッサーなど「カスタムAIチップ」の設計を行う新事業部門を構築している。
その背景には、NVIDIAのH100/A100から違うチップへの代替を求めている主要顧客の動きがある。
主要顧客とは、Amazon、Microsoft、OpenAI、Google、MetaなどでOpenAI以外は独自のAIチップを開発している。(OpenAIも独自AIチップ製造に向けて投資を集めていると言われている)
そのモチベーションは消費電力とチップ導入コストの削減で、H100は16,000ドルから100,000ドルという高額で販売されていると言われており顧客にとって大きな負担になっている。(Metaは今年90億ドルを投じてH100の総保有数を35万台に増やす計画だと言われている。)
Electronics Weeklyの記事によると、昨年Googleは100万個の独自AIチップの製造に20億?30億ドル、Amazonは10万個のAIプロセッサに2億ドルを費やしているとのことで、これは独自にAIチップを開発すればそのコストはIチップ1個あたり2,000ドル〜3,000ドルで済むことを意味している。
BroadcomがGoogleのTPU開発を請け負っていることは有名だが、BroadcomやMarvellが手掛けてきたデータセンター向けのカスタムチップの開発ビジネスに参入しようというのが今回のNVIDIAの新事業部門設立の話。すでにNVIDIAはこの新ビジネスについてAmazon、Microsoft、Google、Meta、OpenAiと会議の場を設けたということ。なおNVIDIAはデータセンターに限らず、通信、自動車、ゲーム機などの分野に対してもそのカスタムチップ・ビジネスを進めているという。
ロイターが引用した試算によると、データセンター向けのカスタムチップ市場は今年最大100億ドルに成長し2025年には200億ドルに到達。すでに2023年時点で全カスタムチップ市場は約300億ドルで全半導体市場の約5%に相当するということ。NVIDIAは同市場に参入することでAIチップの顧客との取引を継続し、顧客の開発する独自チップと正面から敵対しないビジネスの構築を目指している。
NVIDIA

ルネサスがPCB設計ツールのAltiumを約8,900億円で買収へ

2024.02.16
2024年2月15日、ルネサス エレクトロニクスはPCB設計ツールを手掛ける米Altium Limitedの買収を発表した。
プレスリリース
発表によると今回の買収額は91億豪ドル(約8,900億円)で今年下半期に買収完了の計画。Altiumは1985年創業のオーストラリアのPCBツール・ベンダで、本社は米国に置くがオーストラリアで上場している。
これまではポートフォリオの拡張と製品の相乗効果を目指して半導体ベンダを買収してきたルネサスだが、今回の買収は今までの買収戦略とはその中身が大きく異なる。ルネサスCEOの柴田氏は今回の買収について、「統合されたオープンな開発プラットフォームを提供することが可能になる」とコメントしており、より使いやすい開発環境を顧客に提供することを目指しているようだ。
異なるのは買収目的だけではない。ルネサスは2016年にインターシルを約3,200億円、2018年にIDTを約7,300億円とこれまでも大型買収を繰り返し事業拡大を続けているがその買収金額は売上の5倍〜8倍程度だった。しかし、今回のAltium買収には売上の20倍以上の金額を投じる計算で(Altiumの2023年度売上は約400億円)、ルネサスとしてかなり大きな賭けに出ていることが窺い知れる。
半導体ベンダとして、提供する半導体を動かすソフトウェアやシステムの開発環境を提供するのは一般的だが、PCB設計環境を提供するというのは異色のアプローチで恐らく同様の戦略をとる同業者はいない。今回の買収は単にPCB設計環境を手にいれる訳ではなく、クラウドベースの開発環境を手にいれるという部分にも大きな意味があるように見えるが、大口の顧客の大半はAltium以外の市販のPCB設計ツールを利用しているであろう現状を考えると、その買収効果は未知数だ。
ルネサス エレクトロニクス株式会社
Altium

AccelleraがSystemVerilog MSI ワーキンググループを始動

2024.02.09
2024年2月7日、EDAおよびハードウェア設計言語の標準化団体Accellera Systems Initiativeは、標準化活動における新たなワーキンググループ「SystemVerilog Mixed-Signal Interface Types Working Group」の立ち上げを発表した。
プレスリリース
SystemVerilog Mixed-Signal Interface Types (SystemVerilog MSI) WGは、ミックスドシグナル設計を扱うためのSystemVerilogの拡張に取り組む予定。具体的には、異なる種類の信号間の接続や変換、統合の仕組みを作ることで、アナログ・モデルとSystemVerilogデザインの連係を容易にすることを目指す。
新標準は、2023年版IEEE Std 1800 SystemVerilogのアデンダム(addendum)として公開される予定で、Verilog-AMSにあった制限を無くしVerilog-AMS および UVM-MS標準を用いた設計効率の向上が期待されている。
現在Accelleraでは、SystemVerilog MSI以外にSystemVerilog-AMS、UVM-AMSと2つのミックスドシグナル設計向けのWGが活動している。
Accellera Systems Initiative

2023年の世界半導体市場は前年比8.2%減の4866億ドル、2024年は13.1%増の見通し

2024.02.06
2024年2月5日、米SIA(Semiconductor Industry Association)は、2023年12月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年12月の世界半導体売上は、前年同月比約11.6%増、前月比約1.4%増の486.6億ドルだった。世界半導体市場は2023年3月以降10ヶ月連続で売り上げ増を継続中。11月に単月売上が15ヶ月ぶりに前年同時期比5.3%増と前年実績を上回ったが、この12月も前年比増を継続した。2024年はこの回復基調を継続する見通しでSIAは現時点で13.1%のプラス成長を予測している。
なお2023年の世界半導体市場の売上は、過去最高を記録した2022年に対し8.2%減の5,741億ドルという結果に終わった。
2023年はNVIDIAの勢いもありロジック製品の売上が強く、売上合計1,785億ドルと前年を上回った。これに対して前年割れとなったのはメモリ製品で売上は計923億ドル。MCUは前年比11.4%増で計279億ドル。車載用ICの売上は前年比23.7%増の計422億ドルで過去最高を記録した。
スクリーンショット 2024-02-06 8.39.52.png
2023年12月の世界半導体売上を地域別に見ると、11月と同じく日本市場を除く全ての地域で前年比プラス成長を達成。中でも中国市場は前年比19.4%と力強い伸びを示し、北米が12.5%増とこれに続いた。
2023年12月の日本市場の売上を円ベースで換算すると前月比約5.9%減、前年比約1.8%増の約5,513億円。円ベースの売上額は為替レートの影響が大きく月替わりの変動を見せているが、ドルベースで見ると前年実績割れが依然続いている。
スクリーンショット 2024-02-06 8.53.56.png
SIA

CadenceがCFDシミュレーションを高速化するGPUベースの新ソリューション「Millennium M1」を発表

2024.02.05
2024年2月1日、Cadenceはマルチフィジックス・シミュレーション向けの新製品「Millennium™ Enterprise Multiphysics Platform(以下、Millennium M1)」を発表した。
プレスリリース
新製品「Millennium M1」はGPUというHWリソースを活用してCFDシミュレーションを高速化するためのソリューションで、グラウドでもオンプレミスでも利用可能。同社がこれまで買収してきたNUMECAPointwise、Cascade TechnologiesといったCFD関連企業の技術とCadenceのAI技術、エミュレーターなどHWベース・ソリューションの技術を組み合わせて構築されている。
Cadenceによると「Millennium M1」は、GPU常駐型CFDソルバと専用GPUの組み合わせにより、GPU1基あたり最大1000CPUコアのスーパーコンピューター相当のスループットを実現。CFDシミュレーションのTATを数週間から数時間に短縮し、CPUと同等の性能と比較して20倍のエネルギー効率を実現すると同時にその精度、スピード、スケールによって設計効率を最大100倍加速できるとしている。搭載されるCFDソルバはNUMECAとPointwiseの技術を用いて2022年に製品リリースした「Fidelity™ CFD Solver」をベースとしており、CascadeのLarge Eddy Simulation (LES)技術も用いられているようだ。
「Millennium M1」は、複数のGPUノードでほぼ直線的なスケーラビリティを実現するということだが、クラウドでは8GPU、オンプレミスでは32GPUから利用可能となっている。
なおCadenceは1/31にも熱解析ツールの新製品「Celsius studio」を発表しており、システム設計領域のソリューション強化を更に加速している。既にEDA製品の売上の半分以上は大手IT企業や自動車、宇宙航空分野など半導体企業以外の顧客からもたらされているという統計もあり、システム設計領域の市場は大手EDAベンダの大きな伸びしろとなっている。先ごろSynopsysがAnsysの買収を発表しシステム設計領域へ本格的に参入したが、同分野においては長年投資を続けているCadenceがソリューションのラインナップで先行しており、市場の拡大に向けて更なる攻勢をかけ続けている。
日本ケイデンス・デザイン・システムズ社

Cadenceが業界初AI搭載の熱解析の統合ツール「Celsius studio」を発表

2024.02.02
2024年1月31日、Cadenceはシステム設計領域の新製品「Celsius studio」を発表した。
プレスリリース
新製品「Celsius studio」は業界初となるAI技術を用いた最適化機能を搭載する熱設計・解析ツールで、以下の機能が統合されている。
・2.5D/3D-ICおよびICパッケージングの熱解析および熱応力解析
・プリント基板およびアセンブリ全体を対象とした電子機器の冷却機能解析
市場には解析対象や解析機能に応じた単体の電気系/機械系熱解析ツールが多数存在しているが、今回Cadenceは自前の各種熱解析ツールと独自のAI最適化機能「Optimality Explorer」を統合。電気設計エンジニアと機械/熱設計エンジニアが同時並行で設計・解析・製品パフォーマンスの最適化が行えるプラットフォームとして「Celsius studio」を創り上げた。
AIを用いた最適化機能の搭載もさることながら、熱設計・解析ソリューションを一つのパッケージに統合した点に大きな意味があ理、これにより電気系の解析においても機械系の解析においても設計ファイルを簡略化せずにシームレスなイン・デザイン解析を効率的に実行できるという。
なお、Cadenceは積極的な企業買収により熱解析ソリューションの拡充を進めているが、「Celsius studio」に備えられる冷却機能解析は一昨年に買収した英Future Facilitiesの技術でデータセンター向けに重宝されていた。
「Celsius studio」はすでに先行ユーザー向けに提供されている。
日本ケイデンス・デザイン・システムズ社

AIチップの韓国Rebellionsが1億2,400万ドルを追加調達

2024.01.31
2024年1月30日、韓国のAIチップベンチャーRebellionsは、資金調達Bラウンドで1億2,400万ドルを追加調達したことを発表した。
プレスリリース
発表によると今回の投資ラウンドには既存の投資家に加え日本のDG Daiwa Venturesなども参加。同社は創業時の2020年に約2,540万ドル、2022年に約4,000万ドルを投資家から調達しており、累計調達額は2億ドル以上になったという。
Rebellionsは元々金融取引向けのアクセラレータ「ION」を主力のソリューションとしていたが、その後データセンター向けの推論チップ「ATOM」を開発。今回調達した資金は生成AI向けの推論チップ「Rebel」の開発加速に充てるとしている。同社はSamsungの協力を得てチップを製造しており「ATOM」はまもなく量産が開始される予定。新チップ「Rebel」もSamsungファウンドリで製造するとしている。
Rebellions

Cadenceがエミュレーター・ソリューションを強化

2024.01.23
2024年1月18日、Cadenceは同社のエミュレーター「Palladium Z2」用の新型アプリ3種を発表した。
プレスリリース
新しく用意されたエミュレーション用のアプリは下記の3種で、Cadenceはこれらアプリにより消費電力解析やミックスドシグナル・デザインの検証を大幅に高速化できると主張。従来からのPalladiumユーザーNVIDIA, MediaTek, Samsungらがこれに賛同している。
4-State Emulation App: 
業界初の4ステートエミュレーション機能により、複数のパワードメインを持つ複雑なSoCにおける低消費電力の検証など、X伝搬を必要とするシミュレーションを高速化
Real Number Modeling App: 
業界初の実数モデルエミュレーション機能により、ミックスドシグナル設計のシミュレーションを高速化
Dynamic Power Analysis App: 
複雑なSoCの数十億ゲート、数百万クロックサイクルのパワー解析を高速化(従来比最大5倍の性能向上)
エミュレーターは実ソフトを動かす検証環境として特に先端チップ開発で積極的に活用されており、アプリ/モデルの拡充、クラウドベースでの利用などユーザーの裾野を拡げるエンハンスが継続的に進められている。
日本ケイデンス・デザイン・システムズ社

SynopsysがAnsysを買収、取引額は350億ドル相当

2024.01.18
2024年1月16日、SynopsysはAnsysの買収を発表した。
プレスリリース
AnsysはCAEソフトの大手企業で本拠地は米ペンシルベニア州、従業員数は約6,000人、2022年度の売上額は約20億ドル。構造解析、流体解析、電磁界解析、など様々なエンジニアリング向けのシミュレーション・ツールを提供しており、航空宇宙、自動車、エレクトロニクス、FA、エネルギー、医療など、多くの産業分野で利用されている。
EDAツールとしては買収したApache Design Solution社のパワー解析ツール「RedHawk」を主力製品として提供しており、半導体分野では同製品をベースにSynopsysと協業していた。
Synopsysとしては今回の買収は市場拡大への大きな一手で、システム分野の解析・シミュレーションというこれまで同社が積極的に踏み入れてなかった市場を一気に手にすることになる。Synopsysは今回の買収により同社の対応可能な市場規模は現在の1.5倍の約280億ドルに拡大するとしている。
Ansysが活躍していたCAE市場は近年競合のCadenceが積極的に開拓している分野でもあり、両社の競合関係はEDA分野を超えてさらに拡がる形となる。
Synopsysは昨年末に英国のEDAベンダImperasを買収しているが、EDA分野においてはもはや買収対象となる企業は少なく、今後は半導体/エレクトロニクスの周辺領域の市場攻略により力を注ぐことだろう。市場関係者の間ではかなり以前から、SynopsysがMathWorks社を買収するのではないかと言われているが未だ実現していない。
日本シノプシス合同会社
Ansys

2023年11月の世界半導体市場は前年比5.3%増の479.8億ドル、15ヶ月ぶりに前年比プラスに転じる

2024.01.10
2024年1月9日、米SIA(Semiconductor Industry Association)は、2023年11月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年11月の世界半導体売上は、前年同月比約5.3%増、前月比約2.9%増の479.8億ドルだった。世界半導体売上の単月売上が前年同時期の実績を上回るのは2022年8月以来で15ヶ月ぶり。世界半導体市場は2023年3月以降9ヶ月連続で売り上げ増を継続しており、2024年はプラス成長が見込まれている。
SIA2023-11.png
2023年11月の世界半導体売上を地域別に見ると、日本市場を除く全ての地域で前年比プラス成長を達成した。
2023年11月の日本市場の売上を円ベースで換算すると前月比約0.3%減、前年比約2.0%増の約5,858億円。円ベースの売上額は為替レートの変動により伸びてはいるが、ドルベースで見ると前年実績割れが続いている。
SIA2023-1102.png
SIA

2024年の半導体市場は大きく回復し前年比13.1%の成長で5,880億ドルに到達する見通し

2023.12.06
2023年11月28日、WSTS(WORLD SEMICONDUCTOR TRADE STATISTICS:世界半導体市場統計)は2023年秋季半導体市場予測を発表した。
プレスリリース 
プレスリリース(WSTS日本協議会)
WSTSの予測によると2023年の半導体市場は前年比マイナス9.4%の約5,200億ドル。4年ぶりのマイナス成長を記録する見通し。
2024年は大きく市場が回復し前年比13.1%のプラス成長となり、市場規模は5,880億ドルに到達すると予測されている。
日本市場は2023年は前年比マイナス2%だったが、2024年には前年比4.4%のプラス成長が見込まれている。円ベースで換算すると2024年は前年比8.0%増で市場規模は約7兆1,221億円になる見通し。
2023年はメモリ市場が前年比31%減と大きく落ち込んだが、2024年は前年比44.8%増と反発し、メモリに次ぐ市場規模のロジック製品も前年比9.6%と成長が予測されている。
スクリーンショット 2023-12-06 6.55.50.png
WSTS 

2023年10月の世界半導体市場は前年比0.7%減の466.2億ドル、8ヶ月連続で売上増

2023.12.06
2023年12月4日、米SIA(Semiconductor Industry Association)は、2023年10月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年10月の世界半導体売上は、前年同月比約0.7%減、前月比約3.9%増の466.2億ドルだった。世界半導体売上の単月売上が前年同時期の実績を下回るのはこれで14ヶ月連続。しかし今年の3月以降は8ヶ月連続で売り上げ増が継続しており、市場は完全に回復基調に戻っている。
スクリーンショット 2023-12-06 6.15.29.png
2023年10月の世界半導体売上を地域別に見ると、欧州市場に加えてアジア市場も前年比プラスに転じ、その他の地域も前年実績に対するマイナス幅を縮めた。
2023年10月の日本市場の売上を円ベースで換算すると前月比約1.7%増、前年比約1.5%減の約5,876億円。円ベースの売上額は為替レートの変動により伸びてはいるが、ドルベースで見ると前年実績割れが続いている。
スクリーンショット 2023-12-06 6.28.15.png
SIA

Synopsys売上報告、2023年度Q4は前年比25%増の15億9900万ドルでまた四半期記録を更新

2023.12.01
2023年11月29日、Synopsysは、2023会計年度第4四半期(23年8月-10月)の四半期決算を報告した。
プレスリリース
発表によるとSynopsysの2023会計年度Q4の売上は、前年同時期比約25%増、前Q3比約12%増の15億9900万ドル、営業利益は前年比約128%増の3億4920万ドルだった。(※GAAP基準による会計結果)
このQ4売上結果はQ3売上報告時の予測を上回るもので同社の四半期売上記録を更新した。Synopsysは直近5四半期連続で売上記録を更新している。
なおSynopsysの2023会計年度の売上合計額は前年比約15%増の58億4300万ドルで過去最高を記録している。売上内訳を見るとEDA、IP、ソフト開発ソリューションと各セグメントの売上がバランス良く伸びているようだ。
スクリーンショット 2024-02-26 10.04.11.png
SynopsysはQ4(23年8月-10月)において、以下のような発表を行なっている。
新たな社長兼CEOにSassine Ghazi氏を任命
車載制御ソフトのテスト・ソリューションを手掛ける独PikeTecを買収
AIを活用したビッグデータ解析ツールでAIソリューションを拡充
TSMCのN5Aプロセス・テクノロジに対応した業界最多の車載規格準拠のIPポートフォリオを発表
Synopsysは、2024年度Q1(11月-1月)の売上を16億3000万-6000万ドル、2024会計年度の売上合計を65億7000万-66億3000万ドルと予測している。
Synopsys株価推移
日本シノプシス合同会社

SynopsysがMicrosoftとのコラボレーションでハード設計に会話型の生成AI技術を導入

2023.11.17
2023年11月15日、Synopsysは新ソリューション「Synopsys.ai Copilot」を発表した。
プレスリリース文
Synopsysによると「Synopsys.ai Copilot」はMicrosoftとの協業により開発したソリューションで、Microsoft Azureが企業向けに提供する生成AI技術の活用基盤「Azure OpenAI Service」をベースとしている。
「Synopsys.ai Copilot」の機能詳細は明らかにされていないが、システム・アーキテクチャの検討から設計、製造に至るまで、チップ開発のすべての段階において適用可能なようで、SynopsysのEDAツールとIPを生成AI技術と組み合わせ、会話型の開発支援を実現するようだ。
なお「Synopsys.ai Copilot」は、Synopsysが計画している生成AI応用ソリューションの第一弾ということで、新しいスキルを学習し、チームのニーズに応じて成長するように設計されているとのこと。
EDA業界をリードするSynopsysとCadenceの両社は自社製品に積極的にAI技術を取り込んでいるが、今回のようなサードパーティとの大規模な取り組みは業界初。生成AI分野で先頭を走るOpenAI/MicrosoftとSynopsysの協業は期待が大きい。
日本シノプシス合同会社

Siemensが米EDAベンダInsight EDAを買収

2023.11.17
2023年11月15日、Siemens Digital Industries Softwareは米EDAベンダInsight EDAの買収を発表した。
プレスリリース文
Insight EDAはフルチップ、トランジスタレベルの信頼性解析ツールを手掛けるEDAベンダでリーク電流の検出技術に強みを持つ。
買収は11月1日付で完了しておりInsight EDAは既にSiemensの傘下となっている。SiemensはInsight EDAの技術を自社の信頼性検証ツール「Calibre PERC」に統合する計画。「Calibre PERC」は、回路のトポロジカル・チェッキングを自動で行うツール。
Insight EDA
Siemens Digital Industries Software

クルマのデジタルツインを実現するSiemensの「PAVE360」がAWS上で利用可能に、Arm IPの評価も

2023.11.15
2023年11月14日、Siemensは同社のデジタルツイン・ソリューション 「PAVE360」に関するAWSおよびArmとの協業を発表した。
プレスリリース
発表によると今回のAWSとの協業により 「PAVE360」がAWSのクラウド環境で利用可能に。さらにArmとの協業によりクラウド上に構築した仮想的な自動車を用いてArmベースの主要なシステムとソフトウェア・コンポーネントを評価できるようになった。
Siemensはクラウド上のデジタルツインを活用することで車載ソフトウェアおよびハードウェア・システムの開発も加速できるとしている。
siemens-pave360-aws-arm-newsroom-1280x720.jpg
画像はSiemens提供

アーム株式会社
AWSジャパン株式会社
Siemens Digital Industries Software

CadenceとAutodeskが協業、電子設計と機械設計のシームレスなコラボを実現

2023.11.15
2023年11月14日、CadenceはAutodeskとの協業による新ソリューションを発表した。
プレスリリース
発表によるとAutodeskのクラウドベースの3D CAD/CAM/CAEソフト「Fusion」とCadenceのPCB設計ツール「Allegro® X」および「OrCAD® X」を1つのソリューションに統合。これにより機械設計と電子設計の間のシームレスなコラボレーションが可能になるということだが、具体的には機械部品とPCBボードを組み合わせた電力、熱、電磁気などのマルチフィジックス解析がクラウドベースの単一環境で実行可能となるようだ。
オートデスク株式会社
日本ケイデンス・デザイン・システムズ社

Cadenceが学生向けにSkyWater社130nmプロセスのオープンソースPDKを提供

2023.11.14
2023年11月3日、米国の半導体ファウンドリSkyWater Technologyは、Caence製の新しいオープンソースPDKについて発表した。
プレスリリース
Cadenceが用意したオープンソースのPDKはSkyWater社130nm CMOSプロセス (SKY130)向けで、「Cadence VLSI Fundamentals Education Kit」を通じて学生に提供される。
「Cadence VLSI Fundamentals Education Kit」は学術向けの教育プログラムで、同プログラムを通じて今回のPDKを活用できるCadenceのライセンシーは数千におよぶという。
SkywaterはGoogleと組んで自社の130nmプロセスを利用したASIC無償シャトルのプロジェクトを進めており、昨年は新たにSkyWater 90nm FDSOIプロセスのオープンソースPDKをリリースしている。これらPDKを活用するにはefablessの提供する無償のEDAツール環境を利用する必要があったが、「Cadence VLSI Fundamentals Education Kit」を利用するCadenceのユーザーであれば、CadenceのEDAツールとPDKを用いてSkyWater 130nmターゲットのチップが設計できるようになる。
※SkyWaterのオープンソースPDKに関する最新のブログ記事はこちら
SkyWater Technology
日本ケイデンス・デザイン・システムズ社

ハード設計のためのシステム記述言語 IEEE 1666™-2023 SystemCのLRMが無料でダウンロード可能

2023.11.13
2023年11月8日、EDAおよびハードウェア設計言語の標準化団体Accellera Systems Initiativeは、IEEE 1666™-2023 SystemCのLRMが無料ダウンロード可能となったことを発表した。
プレスリリース
ハード設計のためのシステム記述言語「StsremC」は AccelleraがIEEE標準を実現した規格で、最新版のIEEE 1666™-2023 が最近リリースされたばかり。
IEEE標準規格の技術文献のアクセスは通常有料となるが、「IEEE GET プログラム」という無料アクセスのプログラムがあり、Accelleraが同プログラムのスポンサーになることで一般ユーザーの無料ダウンロードが実現されている。
SystemC LRM(IEEE 1666-2023)ダウンロードページ
SystemCに関する直近のイベントとしては、SystemC標準化のワークショップ「SystemC Evolution Day」が11月16日にドイツのミュンヘンで開催される。
Accellera Systems Initiative

ルネサスは次世代の車載用SoCにチップレット技術を導入する

2023.11.09
2023年11月7日、ルネサス エレクトロニクスは、次世代の車載SoCおよびマイコン製品のロードマップを発表した。
プレスリリース
発表によるとルネサスは第5世代となる次の「R-Car SoC」にチップレット技術を導入し、ユースケースごとの様々な要件に合わせてSoCをカスタマイズできる形にする。

これまでの第3世代および第4世代までの「R-Car SoC」では特定のアプリケーション向けにそれぞれ製品を展開していたが、チップレット技術により要件に応じてプロセッサ、AIアクセラレータ、ユーザーの独自IPなどを様々な形で組み合わせ個別のSoCとしてパッケージ化できるようになる。

スクリーンショット 2023-11-09 17.19.39.png
※画像はルネサスHP上のデータ
ルネサスは2024年以降、第5世代の「R-Car SoC」を順次市場投入する計画。
ルネサス エレクトロニクス株式会社

SynopsysがRISC-Vベースの新型プロセッサIP「ARC-V™」を発表

2023.11.08
2023年11月7日、SynopsysはプロセッサIP「ARC」シリーズの新製品「ARC-V™」を発表した。
プレスリリース
Synopsysによると新製品「ARC-V プロセッサIP」は、RISC-Vをベースとして開発されたプロセッサで大きく下記3つのシリーズが用意される。
・ARC-V RMX Series:組み込み向け32ビット・ローパワー・プロセッサ
・ARC-V RHX Series:高性能リアルタイム アプリケーション向け32ビット・ミッドレンジ・プロセッサ
・ARC-V RPX Series:64ビット・高性能ホスト・プロセッサ
またこれらプロセッサ製品には、機能安全に対応するバージョンも用意されているようだ。
「ARC-V™」のソフトウェア開発環境はSynopsysが用意している既存環境「Synopsys MetaWare Development Toolkit」を利用することが可能。
当然ながらSynopsysのインプリメント・フローを活用して「ARC-V™」ベースのSoCを最適な形で実装できる。
日本シノプシス合同会社

Cadenceが生成AI技術でIRドロップ違反を解決する新ツール「Voltus InsightAI」を発表

2023.11.08
2023年11月2日、Cadenceはパワー解析ツール「Voltus」シリーズの新ツール「Voltus InsightAI」を発表した。
プレスリリース
Cadenceによると「Voltus InsightAI」は生成AI技術を用いて設計初期段階でIRドロップ違反の根本原因を自動的に特定・修正することが可能。サインオフ前に最大95%のIRドロップ違反を修正可能でEM-IRクロージャーの生産性を2倍に向上できる。「Voltus InsightAI」があれば設計者はパワーグリッドを過剰に設計する必要がなくなり、優れたPPAを実現可能となる。
「Voltus InsightAI」のリリース予定は定かではないが、Arm、Cisco、MediaTekといった先行ユーザーがその成果についてコメントしている。
日本ケイデンス・デザイン・システムズ社

2023年9月の世界半導体市場は前年比4.5%減の448.9億ドル、7ヶ月連続で売上増

2023.11.02
2023年11月1日、米SIA(Semiconductor Industry Association)は、2023年9月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年9月の世界半導体売上は、前年同月比約4.5%減、前月比約1.9%増の448.9億ドルだった。世界半導体売上の単月売上が前年同時期の実績を下回るのはこれで13ヶ月連続。しかし今年の3月以降は7ヶ月連続で売り上げ増が継続しており、市場は活気を取り戻しつつある。
スクリーンショット 2023-11-02 16.20.00.png
2023年9月の世界半導体売上を地域別に見ると、欧州市場を除く全ての市場が前年実績を割り込んでいるが、全て一桁台のマイナスに留まっており、長らく続いていた中国、アジア市場の落ち込みは底を打った感がある。
2023年9月の日本市場の売上を円ベースで換算すると前月比約1.8%増、前年比約0.5%減の約5,777億円。円ベースの売上額は為替レートの変動により伸びてはいるが、ドルベースで見ると前年実績割れが続いている。
スクリーンショット 2023-11-02 16.32.49.png
SIA

2023年8月の世界半導体市場は前年比6.8%減の440.4億ドル、6ヶ月連続で売上増

2023.11.02
2023年10月4日、米SIA(Semiconductor Industry Association)は、2023年8月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年8月の世界半導体売上は、前年同月比約6.8%減、前月比約1.9%増の440.4億ドルだった。世界半導体売上の単月売上が前年同時期の実績を下回るのはこれで12ヶ月連続。しかし今年の3月以降は6ヶ月連続で売り上げ増が継続しており、市場の回復基調は鮮明になってきている。
スクリーンショット 2023-11-02 16.15.25.png
2023年8月の世界半導体売上を地域別に見ると、長らく前年実績を割り込んでいた北米市場が8ヶ月ぶりに前年比プラスに転じた。主要市場の中国、アジアは、3月以降連続して前年比2ケタ以上のマイナスを記録しているが、徐々にマイナス幅を減らしつつある。
2023年8月の日本市場の売上を円ベースで換算すると前月比約2.5%増、前年比約4.1%増の約5,675億円。円ベースの売上額は7月に2年8ヶ月ぶりに前年同月比マイナス成長となったがすぐにプラスに転じている。これは為替の影響が大きい。
スクリーンショット 2023-11-02 16.16.21.png
SIA

11/22 Design Solution Forum 2023 参加登録開始のお知らせ

2023.10.10
2023年10月10日、エンジニア向けの技術セミナー「Design Solution Forum 2023」の参加登録がスタートしました。
DSFlogp.pngのサムネール画像
今年で10周年を迎えるDesign Solution Forumは、川崎市コンベンションホールにおきまして、来場者を迎えて開催します。
セミナーは参加無料(要登録)、全てのセッションはオンラインでライブ聴講可能です。
■DSF2023 タイムテーブルはこちら 
■DSF2023 参加登録はこちら
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
Design Solution Forum 2023では、今年も多彩なセッションと企画が用意されています。主催者おすすめの見どころは以下の通りです。
・基調講演:コンピューティングの歴史と今後の展望(仮)
ビル・ゲイツ氏の盟友、西 和彦氏(アスキー創業者、元Microsoftボードメンバー、MSX生みの親)にご登壇いただきます。
・招待講演&スポンサー講演
ハード/ソフトの開発手法、注目すべき技術を中心に全44のセッションを実施します。(タイムテーブルはこちら
・10周年企画 特別対談セッション「オープンソースとデバイスの進化(仮)」
台本無し、セミナー会場のみの対談企画。基調講演の西様にもご登壇いただきます。
・10周年企画 生成AI体験会
全4コースの体験会を企画中、生成AIで使えるプロンプトをプロが直接伝授します。
※本企画の参加者は後日募集を開始致します。
・DSF選書
DSFの恒例企画として、今年は「エンジニア心に刺さる一冊」をテーマに講演者の推薦書籍を展示します。

・会場ライブ・レポート

「加トちゃんシンちゃんごきげんテレビ」と題して会場の様子や参加者/出展者のインタビューをYouTubeでライブ配信します!
・DSF10周年記念プレゼント
来場者全員にDSF10周年記念グッズをプレゼント(何がもらえるかは当日のお楽しみ!)
・DSF交流会(立食パーティー)
セミナー終了後に展示スペースを中心に参加者の皆様にご歓談いただきます。例年通り、最優秀講演賞の発表、プレゼント抽選会も行う予定です。
・オンライン交流X連動企画
オンラインを含む全てのセミナー参加者に向けた交流&プレゼント企画を用意しました。詳しくはこちら
■DSF2023 タイムテーブルはこちら 
■DSF2023 参加登録はこちら 
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
Design Solution Forum 2023 開催概要
日時:2023年11月22日(水) セミナー 9:20-17:20
            交流会 17:30-19:00(現地会場のみ)
会場:川崎市コンベンションホール https://www.kawasakicity-ch.jp/access.html
主催:特定非営利活動法人DSF DSF2023実行委員会   
   実行委員長 酒井 皓太 ルネサスエレクトロニクス株式会社
DSF2023スポンサー企業一覧(順不同)
株式会社ネクストリーム
ベリシリコン株式会社
ウィンボンド・エレクトロニクス株式会社
日本ケイデンス・デザイン・システムズ社
Quadric
OPENEDGES Technology, Inc.
ウィンボンド・エレクトロニクス株式会社
日本シノプシス合同会社
チップス&メディア株式会社
株式会社プライムゲート
Intellectual Highway株式会社
株式会社 日立産業制御ソリューションズ
アーム株式会社
株式会社エヌエスアイテクス
産総研・東大/AIチップ設計拠点
日本シーバ株式会社
アルデック・ジャパン株式会社
リネオソリューションズ株式会社
イノテック株式会社
ラムバス株式会社
Secure-IC Inc.
LeapMind株式会社
ファラデーテクノロジー日本株式会社
京都マイクロコンピュータ株式会社
株式会社アラヤ
アルテアエンジニアリング株式会社
株式会社FNexs
東芝情報システム株式会社
株式会社ベリフォア
アルチップ・テクノロジーズ株式会社
株式会社図研
シーメンスEDAジャパン株式会社
アダプティブコンピューティング研究推進体(ACRi)
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
Design Solution Forum 運営事務局
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━

CadenceがプロセッサIPの新製品「Neo NPU」を発表、あらゆるホストプロセッサーから推論処理をオフロード

2023.09.15
2023年9月14日、CadenceはプロセッサIPの新製品「Neo NPU」を発表した。
プレスリリース
Cadenceの新製品「Neo NPU」は、既存のプロセッサIP「Tensilica」シリーズとは異なる新たな製品で、オンデバイスおよびエッジのAI演算処理に特化したプロセッサIPとしてあらゆるホスト・プロセッサーから推論処理の効率的なオフロードを実現する。
「Neo NPU」の主な特徴は以下の通り。
・シングルコアで最大80TOPSまで、マルチコアで数百TOPSまでパフォーマンスを拡張可能
・サイクル当たり256から32K MACに対応
・Int4、Int8、Int16、FP16のデータタイプに対応
・高いパフォーマンスと効率性: 
 同社の第一世代 AI IPと比較して最大20倍高速化
 IPS/mm2 (1秒間、1mm2当たりの推論性能) は2倍から5倍向上
 IPS/W (1秒間、1ワット当たりの推論性能) は5倍から10倍向上
ai-ip-platform-diagram.jpg
※画像はCadenceホームページ上のデータ
あらゆるホスト・プロセッサーから推論処理を効率的にオフロードするために、「Neo NPU」はニューラルネットワークのパフォーマンスと精度のトレードオフに対して柔軟に対応することが可能。「Tensilica」シリーズのプロセッサ開発にも利用できるソフトウェア開発環境「NeuroWeave SDK」は、スケーラブルで設定変更が可能なソフトウェア・スタックを提供するほか、TensorFlow、ONNX、PyTorch、Caffe2、TensorFlow Lite、MXNet、JAX、その他の業界標準の多くのドメイン固有なMLフレームワークに対応。Android Neural Network Compiler、TF Lite Delegates、TensorFlow Lite Microにも対応している。
Cadenceが新製品「Neo NPU」によって狙うのは、インテリジェントセンサー、IoTおよびモバイルデバイス、カメラ、ヒアラブル/ウェアラブル、PC、AR/VRヘッドセット、ADASなど、広範囲に渡る組込みAI処理で、Arm、Synopsysに次ぐ業界3位のIPベンダとして更なるシェア拡大を目指す。(CadenceのIP市場のシェアは2022年実績で5.4%*、これまで600億個以上のプロセッサIPを出荷している)
*IPnest社の調べ
新製品「Neo NPU」および「NeuroWeave SDK」はすでに先行顧客向けに提供中で2023年12月初旬に一般提供が開始される予定。
日本ケイデンス・デザイン・システムズ社

SynopsysがAIを活用したビッグデータ解析ツールでAIソリューションを拡充

2023.09.14
2023年9月6日、Synopsysは、「EDA Data Analyticsソリューション」として3種類のデータ解析ツールを発表した。
プレスリリース
Synopsysによると「EDA Data Analyticsソリューション」は、IC設計・製造の各プロセスでEDAツールが生成する膨大なデータを活用して設計の品質と生産性の向上を実現するもので、AIを活用したビッグデータ解析技術がその核となっている。
データ解析に関する詳細は明らかにされていないが、「Synopsys Design.da」、「Synopsys Fab.da」、「Synopsys Silicon.da」という3種類のデータ解析ツールでEDAツールを用いるIC設計・製造の各プロセスを包括的にカバーし、ビッグデータを活用して生産性、PPA、パラメトリック/製造歩留まりの向上に役立てる。各解析ツールの役割は以下の通り。
Synopsys Design.da:
SynopsysのAIベース設計ツールの設計実行データから詳細な解析を行い、チップ設計者に包括的な可視性と設計上実用可能な洞察を提供。PPAの改善に役立てる。
Synopsys Fab.da:
製造装置の膨大なプロセス制御データ・ストリームを保存および解析し、運用効率を高め、製品の品質と製造歩留まりを最大化する。
Synopsys Silicon.da:
テスト装置からペタバイト規模のシリコン・モニタリング/診断/製造テストのデータを収集し、品質/歩留まり/処理能力などのチップ開発指標や、チップのパワーやパフォーマンスなどのシリコン動作指標の改善に役立てる。
AI技術の進化によりビッグデータの活用は次なるフェーズに移り、新たな洞察を生み出す手段として業界を問わず積極活用が進んでいる。
今回Synopsysが打ち出してきたデータ解析ソリューションは、IC開発現場のビッグデータ活用を包括的に推進するもので、設計データという資産に新たな価値をもたらすソリューションと言える。Synopsysは「EDA Data Analyticsソリューション」で収集したデータセットは、生成AI手法と組み合わせることで、ナレッジ検索アシスタント、仮定検討、問題解決ガイドといった新しいユースケースにも活用できるとしており、大手の製品開発現場では今後こういったAIベースのデータ解析ツールが積極的に採用されていくことだろう。
日本シノプシス合同会社

CadenceがAI配置機能を利用可能な新型PCB設計ツール「OrCAD® X Platform」を発表

2023.09.13
2023年9月13日、CadenceはPCB設計ツールの新製品「Cadence® OrCAD® X Platform」を発表した。
プレスリリース
発表によると新製品「OrCAD® X Platform」の特徴は大きく2つ。
まずAIを用いた自動配置が可能となった。これは部品をクラスタ化し与えられた制約の範囲内で最適な配置を自動的に行うもので、同じくCadenceの提供する高機能PCB設計ツール「Allegro X AI」の機能を利用するもの。「Allegro X AI」は今年4月にリリースされた製品で、小規模または中規模程度のプリント基板であればAI技術を用いて配置配線を自動化できる。この「Allegro X AI」のライセンスを持つユーザーであれば、より安価な「OrCAD® X Platform」を導入すればAI自動配置が可能となる形だ(自動配線については不明)。Cadenceの「Allegro」と「OrCAD」はデータ互換性があるため、用途に応じて両製品を使い分けるユーザーも多い。
なおCadenceの説明によると「Allegro X AI」のAI自動配置機能を用いることで、数日かかっていた配置作業を数分で終えることが可能。先ごろ開催された「CadenceLIVE Japan 2023」で聞いた話では、「Allegro X AI」は将来的には大規模プリント基板設計のAI自動化にも対応する予定で、2024年にはフル自動配線機能も実現する計画とのこと(現在のところ重要なネット配線のみ自動化できる)。これらのAIベースの自動化技術は、デジタル回路のデザインで培ってきた同社のノウハウをPCB設計向けに移植しているものだという。
もう一つの大きな特徴はクラウド環境への対応で、「OrCAD® X Platform」は「Allegro X AI」と同じようにクラウド環境で利用することが可能となった。具体的にはCadenceの提供するクラウドベースの開発ソリューション「Cadence OnCloud Platform」を構成するツールの一つとして「OrCAD® X Platform」が加わり、データ管理やデータ・ストレージ、チーム設計などクラウド環境の利点を活かしたツール運用が可能となった。「Cadence OnCloud Platform」には、「Allegro」、「OrCAD」以外にもマルチフィジックス解析ツール、CFDシミュレーションツール、マイクロ波設計ツールなど、Cadenceのシステム設計およびシミュレーション・ツールが用意されている。
コストパフォーマンスの高いPCB設計ツールとして提供されているCadenceの「OrCAD」シリーズ製品は、日本国内ではイノテックが代理店として販売している。
日本ケイデンス・デザイン・システムズ社
イノテック株式会社

2023年7月の世界半導体市場は前年比11.8%減の432.2億ドル、11ヶ月連続の前年割れ

2023.09.08
2023年9月6日、米SIA(Semiconductor Industry Association)は、2023年7月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年7月の世界半導体売上は、前年同月比約11.8%減、前月比約4.1%増の432.2億ドルだった。世界半導体売上の単月売上が前年同時期の実績を下回るのはこれで11ヶ月連続。しかし今年の3月以降は5ヶ月連続で売り上げ増が継続しており、市場は緩やかな回復基調を見せている。
スクリーンショット 2023-09-08 5.09.51.png
2023年7月の世界半導体売上を地域別に見ると、過去3ヶ月同様欧州市場を除き全ての地域で前年比マイナス。主要市場の中国、アジアは、3月以降連続して前年比2ケタ以上のマイナスを記録しているが、北米市場の落ち込みは緩和されつつある。
2023年7月の日本市場の売上を円ベースで換算すると前月比約2.4%増、前年比約1.5%減の約5,535億円。円ベースの売上額は過去31ヶ月連続で前年の同月実績を上回っていたが、2年8ヶ月ぶりに前年同月比マイナス成長となった。
スクリーンショット 2023-09-08 5.25.28.png
SIA

Synopsysが車載制御ソフトのテスト・ソリューションを手掛ける独PikeTecを買収

2023.08.25
2023年8月23日、Synopsysは、車載制御ソフトのテストおよび検証ソリューションを手掛ける独PikeTecの買収が完了したことを発表した。
プレスリリース
Motion_ReleaseBanner_FINAL.jpg
※画像はSynopsysホームページ上のデータ
今回の独PikeTecの買収はSynopsysの車載システム開発ソリューションの強化を狙うもので、Synopysは同社既存のソリューションと独PikeTecの技術を組み合わせることでソフトウェア・デファインド・ビークルへの移行に伴い急速に増大するソフトウェア開発および検証の課題に対処するとしている。
Synopsysは仮想プロトタイピング・ツール「Virtualizer」のほかに、仮想ECUプラットフォーム「Silver」、仮想テスト・プラットフォーム「TestWeaver」などの車載システム開発ツールを提供している。「Silver」および「TestWeaver」は、2019年の独QTronic社の買収によって獲得したソリューション。
※関連ユース:Synopsysが車載システム開発向けバーチャルECUソリューションの独QTronic社を買収
日本シノプシス合同会社

Accelleraが業界を横断するシミュレーション・エコシステムの構築に向けてWGを立ち上げ

2023.08.23
2023年8月22日、EDAおよびハードウェア設計言語の標準化団体Accellera Systems Initiativeは、マルチドメインのシミュレーション・フレームワークに関する新たな標準規格「Federated Simulation Standard」を検討するProposed Working Group (PWG) の発足を発表した。
プレスリリース

1644223723.png

Accelleraによると「Federated Simulation Standard (FSS) PWG」は、航空電子工学、宇宙、半導体、自動車、メカトロニクスなど業界ごとに異なるシミュレーションのアプローチや標準を統合するAPI仕様を策定し、複数業界で運用可能なマルチドメイン・シミュレーション・フレームワークの実現を目指す。まずは各業界の関心事・意見を集め、要件を検討するところから活動をスタートする予定で、Airbus, AMD, Aptiv, AVL, Bosch, Cadence, Collins Aerospace, IRT Saint-Exupery, NXP, Qualcomm, Nippon Shokubai, Spacebelなど、多様な業界の大手企業が関心を示している。
Accelleraは「Federated Simulation Standard」によって、複雑なシステムのモデリング、統合、シミュレーションが容易になり、システム・オブ・システムのシミュレーションが可能となることを最終的な目的としている。
「FSS-PWG」のキックオフ・ミーティングは来月9/25-26の2日間、仏トゥールーズで開催される予定。Accelleraのメンバー企業に限らず誰でもミーティングに参加できる。
Accellera Systems Initiative

Synopsys、新たな社長兼CEOにSassine Ghazi氏を任命

2023.08.22
2023年8月16日、Synopsysは同社取締役会が2024年1月1日付けでSassine Ghazi氏を社長兼CEOに任命したことを発表した。
プレスリリース
Synopsys創業者のAart de Geus氏に代わり来年からCEOを務めるSassine Ghazi氏(53歳)は、元Intelの設計者でSynopsysに25年間在籍、現在は社長兼COOとしてSynopsysの経営に携わっている人物(写真右)。
Aart Sassine HR 550px.jpg
※写真はSynopsys ホームページ上のデータ

会長兼CEOのAart de Geus氏は、2024年1月1日付でSynopsys取締役会のエグゼクティブ・チェアに就任する。

1986年のSynopsys創業以来、同社CEOとして経営を指揮してきたAart de Geus氏(69歳)は、一線を退き新CEO Sassine Ghazi氏のサポートに回るということだ。
日本シノプシス合同会社

Synopsys売上報告、2023年度Q3は前年比19%増の14億8700万ドルでまた四半期記録を更新

2023.08.22
2023年8月16日、Synopsysは、2023会計年度第3四半期(23年5月-7月)の四半期決算を報告した。
プレスリリース
発表によるとSynopsysの2023会計年度Q3の売上は、前年同時期比約19%増、前Q2比約6.6%増の14億8700万ドル、営業利益は前年比約51%増の3億3630万ドルだった。(※GAAP基準による会計結果)
このQ3売上結果はQ2売上報告時の予測に則したもので同社の四半期売上記録を更新した。Synopsysは直近4四半期連続で売上記録を更新している。
SNPS2023Q3.png
SynopsysはQ3(23年5月-7月)において、ブログで米Silicon Frontline Technology社の買収を発表している。
SynopsysがESD解析のSilicon Frontline Technologyを買収
Synopsysは、2023年度Q4(8月-10月)の売上を15億6700万-9700万ドル、2023会計年度の売上合計を58億1000万-4000万ドルと予測している。
Synopsys株価推移
日本シノプシス合同会社

2023年6月の世界半導体市場は前年比17.3%減の415億ドル、10ヶ月連続の前年割れ

2023.08.07
2023年8月4日、米SIA(Semiconductor Industry Association)は、2023年6月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年6月の世界半導体売上は、前年同月比約17.3%減、前月比約1.9%増の415.1億ドルだった。世界半導体売上の単月売上が前年同時期の実績を下回るのはこれで10ヶ月連続。しかし、3、4、5、6月と、ここ4ヶ月間は前月比では売り上げ増が継続している。
世界の半導体市場の売上鈍化は依然続いているが、市場関係者からは底を打ったという指摘がちらほら出始めている。
スクリーンショット 2023-08-07 7.23.46.png
2023年6月の世界半導体売上を地域別に見ると、4月、5月同様欧州市場を除き全ての地域で前年比マイナス。主要市場の中国、アジア、北米は3月以降連続して前年比2ケタ以上のマイナスを記録しているが、各市場の売上減少率は少しづつ緩やかになってきている。
2023年6月の日本市場の売上を円ベースで換算すると前月比約0.77%増、前年比約2.5%増の約5,405億円。日本市場の売上が日本円換算で前年同月比プラス成長となるのはこれで31か月連続。円安の影響で売上増が続いているがドルベースでは前年比3.5%減となっている。
スクリーンショット 2023-08-07 7.24.36.png
SIA

2023年Q1、世界EDA市場は前年比12%増の39億5110万ドル、12四半期連続で売上記録更新

2023.07.11
2023年7月10日、米ESD Allianceは、2023年度第1四半期(1月-3月)の世界EDA売上報告を発表した。
プレスリリース
ESD Allianceの発表によると2023年Q1(1-3月)の世界のEDA売上総額は、前年比約12%増、前Q4比約2.4%増の39億5110万ドルで四半期売上記録を更新した。記録の更新は12四半期連続。四半期売上が前年実績を上回るのは16四半期連続となる。
スクリーンショット 2023-07-11 5.38.48.png
2023年Q1のEDA売上をカテゴリ別に見ると、全ての製品分野で前年比プラス成長を達成。IP分野を除く全てのカテゴリで前年比2ケタ増を達成している。半導体製品の出荷数とダイレクトに繋がるIP分野は2022年Q3以降、成長率の移り変わりが激しくなっている。
2023年Q1のEDA売上を地域別に見ると、日本市場を含む全ての地域で前年比プラス成長を記録したが、アジア市場だけは成長率がQ4の水準を割り込んでいる。
2023年Q1時点でのEDA業界の従業員数は前年比12.9%増の57,696人でQ4よりも約4.5%増加した。
2023年Q1の分野別の売上と昨年同時期との比較は以下の通り。
■CAE分野 14億3410万ドル 15.1%Up 
■IC Physical Design & Verification分野 6億7580万ドル 24.6%Up
■IP分野 13億3060万ドル 0.4%Up
■サービス分野 1億4220万ドル 17.2%Up
■PCB/MCM分野 3億6840万ドル 25.6%Up
2023-Q1-cat.png
2023年Q1の地域別の売上と昨年同時期との比較は以下の通り。
■北米 16億9820万ドル 12.7%Up
■欧州・中東・アフリカ 5億3010万ドル 21.6%Up 
■日本 2億7270万ドル 4.3%Up
■アジアその他地域 14億4990万ドル 9.6%Up
EDAC2023-Q1-mar.png
ESDA(Electronic System Design Alliance)

2023年5月の世界半導体市場は前年比21.1%減の407億ドル、9ヶ月連続の前年割れ

2023.07.10
2023年7月6日、米SIA(Semiconductor Industry Association)は、2023年5月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2023年5月の世界半導体売上は、前年同月比約21.1%減、前月比約1.7%増の407.4億ドルだった。世界半導体売上の単月売上が前年同時期の実績を下回るのはこれで9ヶ月連続。しかし、3、4、5月と、ここ3ヶ月間は前月比では売り上げ増が継続している。
世界の半導体市場の売上鈍化は依然続いているが、どうやら底を打ったと言える状況になってきたようだ。
SIA2023-05.png
2023年5月の世界半導体売上を地域別に見ると、4月同様欧州市場を除き全ての地域で前年比マイナス。主要市場の中国、アジア、北米は3月に続いて軒並み前年比20%以上のマイナスを記録。最大市場中国の落ち込みは月を追うごとに緩やかになりつつあるが、それでもなお前年比29.5%減と深刻な状況に変わりはない。
2023年5月の日本市場の売上を円ベースで換算すると前月比約3.4%増、前年比約0.79%増の約5,364億円。日本市場の売上が日本円換算で前年同月比プラス成長となるのはこれで30か月連続。円安の影響で売上増が続いているがドルベースでは前年比5.5%減となっている。
SIA2023-05-01.png
SIA

チップ設計向けデザインIP市場、2022年は前年比20%伸びて66億7000万ドルに拡大

2023.07.07
2023年4月21日、SemiWikiの記事:
Design IP Sales Grew 20.2% in 2022 after 19.4% in 2021 and 16.7% in 2020!
調査会社IPnestが今年4月にリリースしたレポートによると、チップ設計に用いる「デザインIP」の市場は2022年に前年比20%増の66億7000万ドルに拡大した。
市場の売り上げランキングでは、上位4社はArm, Synopsys, Cadence, Imagination Technologies, の順で2021年と変わらず。5位には2021年は9位だったAlphawaveがランクイン。同社は2022年に実施したOpenFive社の買収がシェア拡大に大きく貢献した。2022年にPLDA, AnalogX, Hardentと3社を買収したRambusも同じくシェアを拡大し10位となった。TOP10は下記図の通り。

Table-Top10-IP-2021-2022.jpg

2016年から2022年のTOP3の成長に着目すると、首位ARMは66.5%、2位Synopsysは194%、3位Cadenceは203%の成長を記録し、市場シェアはARM48.1%→41%、Synopsys3.1%→22%、Cadence3.4%→5.4%と変化した。2016年から2022年の市場全体の平均成長率は11.8%だったのに対し、TOP3の平均成長率はARM8.9%、Synopsys19.7%、Cadence20.3%だった。
興味深いのはIPのライセンス販売とロイヤリティ収入の売り上げ統計で、IPのライセンス販売に関してはSynopsysがArmを上回り市場シェア29.6%。シェア4.4%で4位のAlphawaveは、データ中心アプリケーションで必要不可欠な高性能SerDes IPで実績を伸ばしているという。ロイヤリティ収入に関しては、Armがシェア63.8%で圧倒的な首位。スマートフォン業界で実績のあるImagination TechnologiesがSynopsysに次ぐ3位につけている。
Top5-License-2022-BIG.jpg
IPnestはデザインIP市場の2021年〜2026年の平均成長率を16.7%と予測。2025年には市場規模100億ドルを超えると見通している。
SemiWiki 

S2CがAMDの7nmFPGAを搭載したPCIe Gen5対応のプロトタイピング・システムをリリース

2023.07.06
2023年7月4日、FPGAベースのプロトタイピング・システムを手掛けるS2Cは、プロトタイピング・システムの新製品「S8-40 Prodigy Logic System」の発売を発表した。
プレスリリース
発表によるとS2Cの新製品「S8-40 Prodigy」は、AMDの7nm FPGA 「Versal™ Premium VP1802」を搭載。同社8世代目のプロトタイピング・システムとなる。
1688461784944184.jpg
※画像はS2C社HP上の公開画像
7nm FPGAを搭載するプロトタイピング・システムは恐らくこの「S8-40 Prodigy」が業界初。「Versal™ Premium VP1802」のLogic Cell数は約735万で1つのチップで4,000万ASICゲートのデザインに対応可能だが、「S8-40 Prodigy」のウリはデザイン容量ではなく「Versal™ Premium VP1802」を活かした高帯域幅接続のサポートにある。
具体的には、「S8-40 Prodigy」はCXL (EP) 付きPCIe Gen5 x4およびCCIX(RC/EP)付きPCIe Gen5 x8をサポートしており、PCIe関連の検証や高帯域幅アプリケーションのプロトタイピングに対応可能。また、データ転送速度28GbpsのGTMトランシーバを140個、32GbpsのGTYPトランシーバを28個、最大56GbpsのGTMトランシーバを32個搭載し、その総帯域幅は5600Gbpsに達する。
S2Cによると低レイテンシーで高帯域幅のPCIe Gen5のようなインターフェイスやCXL/CCIXのような高速インターコネクト・プロトコルを必要とすることが多い、ストレージ、AI、GPUの設計などの高帯域幅アプリケーションの検証ニーズをターゲットに「S8-40 Prodigy」を用意したということだ。
なお既に出荷が開始されている「S8-40 Prodigy」は、前世代の「S7-19P」と比較して内蔵メモリが5.37倍、DSPエンジンが3.73倍と大幅に増加している。 
S2C

SynopsysがESD解析のSilicon Frontline Technologyを買収

2023.07.04
2023年5月4日、Synopsysは、パワー半導体設計の解析ツール、SoCの電力ネットワーク解析ツール、フルチップESD解析ツールなどを手掛ける米Silicon Frontline Technology社の買収を同社のブログで発表した。
Synopsysブログ記事
 Silicon Frontline Technologyは、パワーデバイス、ミックスドシグナルおよびアナログ設計向けの独自の電気レイアウト検証ソリューションを提供しており、大規模パワー半導体デバイスや静電気放電 (ESD) 保護ネットワークの設計における「盲点」を設計サイクルの早い段階で検出し最適化することが可能。同分野ではデファクト・ツールとして利用されている。
siliconfrontline.png
Silicon Frontline Technologyのソリューションは、Synopsysの「IC Validator™ PERC」物理検証ソリューションの静的ESDテクノロジーを補完するほか、Synopsysのパワーデバイス設計ソリューションや熱解析ソリューションの強化に活かされていく予定。具体的には、高速3D抽出、ポイントツーポイント抵抗計算、静的EMIR解析、パワーデバイスDCシミュレーション、パワーデバイス・ゲートネットワーク抽出とシミュレーション、動的デバイスモデル生成、電熱シミュレーションのためのソリューションなどがSynopsysから提供されることになるという。
Silicon Frontline Technology
日本シノプシス合同会社

OKIアイディエス、設計/検証サービス用にSiemensの高位合成ツール「Catapult」を導入

2023.06.30
2023年6月29日、SiemensはOKIアイディエスによる同社製品「Catapult」の導入事例を発表した。
プレスリリース
発表によるとOKIアイディエスは、同社の設計/検証サービスにおける高位合成および高位検証の実現にSiemensの「Catapult™」を採用。同社では既存の高位合成フローにおいて、合成用モデルと元のアルゴリズム・モデル、合成用モデルと合成後のRTLの等価性検証の効率化が課題となっていた。
OKIアイディエスは「Catapult」を用いた高位合成および高位検証フローを自社の設計/検証サービスに組み込むことで、課題となっていた効率的な等価性検証を実現。合成用モデルとアルゴリズム・モデルの検証に使うテストベンチをRTL機能検証用のテストベンチに自動変換することで、RTLの機能検証用のテストベンチをわざわざ作ることなくRTLの機能検証が可能となった。実行仕様として使用したC/C++の高レベルのコードをRTLの機能検証に利用できるため、設計品質の向上も可能になるという。
OKIアイディエスは、「Catapult」の導入によりRTL機能検証の大幅な効率化は可能となり、納期が20%以上短縮できると見ている。
Siemensの高位合成ツール「Catapult」には、高位合成機能と合わせてテストベンチ変換などを行う検証用の環境「SCVerify」やデザイン・チェッカー機能など、高度かつ高レベルな検証機能が統合されている。
株式会社OKIアイディエス
シーメンスEDAジャパン株式会社