NEWS

世界半導体市場は上位10社が57%のシェアを握っている

2022.04.27
2022年4月26日、IC Insightsのレポート:
Top 10 Companies Hold 57% of Global Semi Marketshare
半導体市場の調査会社IC Insightsによると、2021年の世界半導体市場の57%は上位10社がシェアを握っていた。
上位10社のうち5社がファブレス半導体ベンダだったが、2000年当時は上位10社にファブレス半導体ベンダはいなかった。
ファブレス半導体ベンダが初めて上位10社入を果たしたのは2008年でQualcommだった。
2021年の半導体市場を見ると、上位5社が全体の42%、上位25社が79%、上位50社が89%のシェアを占めていた。
市場における売上上位企業の占める割合は2010年当時よりも増える傾向にあり、IC Insightsは今後もその傾向は続くと予測している。その理由は今後も半導体上位企業の買収や合併が続くと見ているため。
長らく半導体市場で首位にいたIntelが初めて首位になったのは1993年で9.2%のシェアを持っていた。
2017年にIntelを抜いて首位に立ったSamsungは14.8%のシェアを握っていたが、1993年当時のシェアは僅か3.8%だった。
2021年の首位はSamsungでシェアは13.3%、前年比33%増と売上を伸ばした結果だった。同2位のIntelはシェア12.5%で売上は前年比1%増だった。
1993年当時上位10社のうち6社が日本企業だったが、2021年は上位10社に日本企業の姿は無い。
IC Insights

Synopsysが業界最速をうたうAIプロセッサIP「ARC NPX6」を発表

2022.04.25
2022年4月19日、Synopsysは同社のプロセッサIP「ARC」シリーズの新製品「ARC NPX6 NPU」の発売を発表した。
プレスリリース
新製品「ARC NPX6 NPU」はAIアプリケーション向けのニューラル・プロセッシング・ユニット(NPU)として用意されたもので、自動車の機能安全規格ISO 26262の認証に対応する「ARC NPX6S NPU」というラインナップもある。
Synopsysが説明する「ARC NPX6 NPU」の特長は以下の通り。新しいスパース化機能とハードウェア/ソフトウェア接続機能により業界最高クラスの性能を実現するという。
・4Kから96Kまで拡張可能なMAC
・1インスタンスあたりで最大250TOPS、スパース化機能を適用した場合で最大440TOPSの性能(5nmプロセス実装でのワーストケース)
・ワットあたり30TOPSの低消費電力性能
・ハードウェア/ソフトウェア接続機能により複数のNPUインスタンスの実装が可能となり、シングルSoC上で最大3,500 TOPSの性能
・既存の最大構成の「ARC EV7x Processor IP」より50倍の高性能
・16bit浮動小数点オプション活用により、レイヤ・パフォーマンスを最大化、AIプロトタイピングに用いられるGPUから消費電力/面積を最適化した量産SoCへの移行を容易化
・開発環境「MetaWare MX Development Toolkit」が新しいディープラーニング・ネットワーク・モデルの自動コンパイル/最適化をサポート
日本シノプシス合同会社

Gartnerによる2021年の世界半導体売上集計は前年比26.3%増の5,950億ドル

2022.04.15
2022年4月14日、調査会社Gartnerは2021年の世界半導体売上について報告した。
プレスリリース
Gartnerの集計によると2021年世界半導体売上は前年比26.3%増の計5,950億ドルだった。
Gartner2021.png
※画像はGartnerのHP上のデータ
売上ランキングでは、Samsungが前年比28%増の約732億ドルでIntelから首位を奪還した。2位に転落したIntelは前年比0.3%減の約725億ドルだった。
売上トップ10の中で強力な成長を示したのはAMDとMediatekで、それぞれ前年比68.6%増、60.2%増だった。
HiSiliconは米国の制裁により売上が2020年の82億ドルから15億ドルに81%減少し売上トップ25から脱落した。この影響で半導体市場の中国のシェアは2020年の6.7%から6.5%に低下した。一方でメモリで強い成長を示した韓国のシェアは19.3%に拡大した。
セグメント別では自動車市場が他の全ての市場を上回り、2021年に34.9%成長した。スマートフォンが大きく伸びたワイヤレス通信市場も24.6%と大きな成長を見せた。
なお米SIAの集計では2021年の世界半導体売上は前年比26.2%増の5,559億ドルとなっている。
Gartner

IPベンダM31はCadenceのクラウド・ソリューションを活用して製品出荷を5倍加速

2022.04.14
2022年3月30日、Cadenceは台湾のIPベンダM31による自社クラウドソリューションの成功事例を発表した。
プレスリリース
M31は高速インタフェースIP、メモリコンパイラー、標準的なセルライブラリなどを提供するIPベンダ。今回同社はライブラリのキャラクタライズにあたり、Cadenceの「Liberate Trio Characteristics Suite」に加え「Cadence CloudBurst」を採用。クラウド上で10,000個のCPUコアを用いてセルライブラリの特性評価を行い、市場投入までの時間を全体で5分の1程度に短縮した。
「Cadence CloudBurst」はクラウド環境上のEDAツールを管理するプラットフォームで、今回M31が同プラットフォームを導入したのは自社のオンプレミスのインフラ以外に追加のマシンリソースを必要としたため。M31は「Cadence CloudBurst」を利用することでクラウド上の「Liberate Trio Characteristics Suite」に簡単にアクセスでき、時間と費用を節約できたとしている。
日本ケイデンス・デザイン・システムズ社
M31

今年のICユニットの出荷量は前年比9.2%増の4,277億ユニット、2000年の5倍相当

2022.04.14
2022年4月13日、IC Insightsのレポート:
Total IC Unit Shipments Forecast to Climb 9% This Year
半導体業界の調査会社IC Insightsによると、今年のICユニットの出荷量は前年比9.2%増の4,277億ユニットになる見通し。この増加は昨年の22%に次ぐ大きな増加で、2000年に出荷されたユニットのほぼ5倍、1980年に出荷されたユニットのほぼ44倍にあたる。但し、全てのIC製品が順調に出荷量を増やすわけではなく、IC InsightsはSRAM、DSP、ゲートアレイの出荷数は減少すると予測している。ICユニットの出荷量は今後も平均的に高成長を続ける見通しで、IC Insightsは2021-2026年の平均成長率を7%と予測している。
IC Insights

ルネサスが車載ソフト先行開発用のバーチャル開発環境をリリース

2022.04.13
2022年4月12日、ルネサス エレクトロニクスは、車載アプリケーション・ソフトウェアの先行開発および動作評価のためのバーチャル開発環境の提供開始を発表した。
プレスリリース
発表によるとルネサスが用意するバーチャル開発環境は、「バーチャルターンキープラットフォーム」と「マルチコアデバッグ&トレースツール」で構成されており、現在、車載ゲートウェイ用SoC「R-Car S4」向けに提供が開始されている。今後は「R-Car V4H」や車載マイコン「RH850」のほか、新たに開発するSoC向けにも順次バーチャル開発環境を提供していく予定だという。
「バーチャルターンキープラットフォーム」と呼ばれる環境は、 バーチャル開発環境「R-Car Virtual Platform(R-Car VPF)」上に、ソフトウェア・ライブラリやサンプルコードなど動作検証済みの「ソフトウェア開発キット(R-Car SDK)」を搭載したもので、「R-Car VPF」は、Synopsysの「Virtualizer Development Kits(VDK)」をベースにR-Car固有のIPモデルを追加して構築されている。実チップを高精度で再現した同環境を利用することで、ユーザは実チップ/実ボードを用意することなくソフトウェアを開発できるようになる。
「マルチコアデバッグ&トレースツール」は、バーチャル開発環境で開発したソフトウェアの統合検証のためのツールで、ヘテロジニアス構成のR-Car S4に統合されている複数のプロセッサコア(Armコア(CR52、CA55)/ RH850コア(G4MH))を同時にデバッグおよびトレースすることが可能。R-Carに搭載される複数のハードウェア・リソースの相互作用に起因する問題の分析と特定を容易にし、問題が見える化、開発期間の短縮を実現するという。
これまでもルネサスは自社の車載マイコン向けのバーチャル開発環境をSynopsysと共同開発していたが、その環境の提供はSynopsysに委ねていた。今回発表されたバーチャル開発環境は自ら開発した「マルチコアデバッグ&トレースツール」も合わせてルネサスが直接顧客に提供するというもので、車載ソフトウェア開発におけるバーチャル開発環境の利用がより本格化してきたことの現れと見て取れる。
ルネサス エレクトロニクス株式会社

2021年世界半導体市場における日本企業のシェアは僅か6%、中国は4%

2022.04.12
2022年4月5日、IC Insightsの記事:
Chinese Companies Hold Only 4% of Global IC Marketshare
IC Insightsの調べによると2021年世界半導体市場の国別のシェアトップは米国で、米国に本社を置く企業が市場の54%を獲得した。市場セグメント別に見ると、IDMの売上の47%、ファブレスの売上の68%を米国企業が手中に収めた。
2位以下は以下の通りとなっている。 ※TSMCなどファウンドリ専業企業の売上はこれらデータに含まれていない
2位韓国、市場シェア22%、IDMの売上の33%、ファブレスの売上の1%
3位台湾、市場シェア9%、IDMの売上の3%、ファブレスの売上の21%
4位欧州、市場シェア6%、IDMの売上の9%、ファブレスの売上の1%
5位日本、市場シェア6%、IDMの売上の8%、ファブレスの売上の1%
6位中国、市場シェア4%、IDMの売上の1%、ファブレスの売上の9%
IC Insightsによると、台湾企業のファブレス企業の売上が伸びており、2020年に市場シェアで欧州企業を抜き3位となった。韓国と日本の企業はファブレスICセグメントでの存在感が非常に弱く、台湾と中国の企業はIDMセグメントのシェアが非常に低い。日本企業が市場シェア49%から6%に急降下したのに対し、日本を除くアジア企業のシェアは4%から34%に急増している。
IC Insights

SK hynixがDRAM設計でCadenceのFastSPICEを採用

2022.04.12
2022年4月8日、CadenceはSK hinixによる同社のEDAツール「Spectre FX FastSPICEシミュレータ」の採用事例を発表した。
プレスリリース
発表によるとSK hynixはモバイル向けのDDR4/DDR5 DRAMの機能検証でCadenceのFastSPICE「Spectre FX FastSPICE Simulator」を採用した。
SK hynixのコメントによると「Spectre FX FastSPICE Simulator」は、PCおよびモバイル向けDRAMの検証用ツールとして認定して運用しており、その適用範囲はHBMおよびグラフィックス向けDRAMにも拡張されているという。
最新の状況は定かではないが、SK hynixではSynopsysのFastSPICEを利用していたこともある。
日本ケイデンス・デザイン・システムズ社

組み込み型FPGAのFlex Logixのビジネスが好調

2022.04.11
2022年4月5日、SoC組み込み型のFPGAコアを手掛けるFlex Logix Technologiesは、同社の業績について発表した。
プレスリリース
発表によるとFlex Logixは既に17社以上の顧客と同社の組み込み型FPGA「EFLX」の契約をしており、32種類のASIC/SoCプロジェクトで「EFLX」が利用されている。そのうち半分は既にシリコンとして実働しているという。
同社が公にアナウンスしている顧客は以下の通り。
米空軍研究所, Boeing, DARPA, Datang Telecom/MorningCore Technology, Renesas/Dialog, 米サンディア国立研究所, SiFive, Socionext, 米国防総省
Flex Logixによると同社の組み込み型FPGAは5年前までは検証用やテスト用チップとしての利用が中心だったが、ここ最近は大半が量産チップに活用されているという。
現在「EFLX」は7、12、16、22、28、40nmプロセスで利用可能。将来的には更に先端のプロセスノードに対応する製品もリリースする予定。
Flex Logix Technologies

DVCon Japan 2022 開催

2022.04.11
---PR---
DVCon Japan 2022 6月23日 開催決定
2022DVConJAPANLogo.png
米国で20年以上の歴史を持ち、設計や機能検証の標準やベストプラクティスが共有されるDVConは、米国以外にもヨーロッパ、インド、中国で開催される国際会議へと発展しています。そしてついに、日本国内でも開催されることになりました。
・開催日 2022年6月23日
・形 式 バーチャル(オンライン)
・公式サイト https://www.dvcon-jpn.org
DVCon - Design & Verification Conferenceは、Accellera Systems Initiativeが主なスポンサーとなって開催される設計と検証に関する最大級のカンファレンスです。
国内では JEITA(一般社団法人電子情報技術産業協会)の後援を受け、実行委員会を組織化し、このたび開催するはこびとなりました。
DVCon Japan 2022では以下のテーマについて論文やチュートリアルを募集し、議論する予定です。
 ・機能検証とバリデーション
 ・設計や検証資産の再利用と自動化
 ・フローへの機械学習やビッグデータの活用
 ・低消費電力設計と検証
 ・機能安全やセキュリティ保全の設計と検証
 ・ミクストシグナル検証
実行委員会では、論文、チュートリアル、スポンサーシップを募集しています。
■論文の募集
 応募締切:2022年4月28日
 応募形式:ショートペーパー(2?6頁)または
      アブストラクト+スライド
 詳しくは、こちらをご覧になってください。
 募集要項:https://www.dvcon-jpn.org/conference/call-for-paper/ 
■チュートリアルの募集
 応募締切:2022年5月13日
 応募形式:プレゼンテーション形式
 詳しくは、こちらをご覧になってください。
 募集要項:https://www.dvcon-jpn.org/conference/call-for-tutorial/ 
                
■スポンサーの募集
 設計や検証におけるベストプラクティスや新しい標準など多くを学べる機会として
 非商用目的でカンファレンスを開催することにご賛同いただけます企業様に、是非
 スポンサーとなっていただけますよう、お願いいたします。
 開催の趣意書:https://www.dvcon-jpn.org/sponsor/become-a-sponsor/ 
                
■お問合せ
DVCon Japan 2022についての質問、ご応募、ご意見は下記からお寄せいただけます。
  お問合せフォーム:https://www.dvcon-jpn.org/contact-us/ 
皆様の積極的なご応募、ご参加をお待ちしております。
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
 DVCon Japan 2022 実行委員会
 https://www.dvcon-jpn.org/about-1/steering-committee/  
━━━━━━━━━━━━━━━━━━━━━━━━━━━━━━
---PR---

SynopysとJuniper Networksがシリコンフォトニクス・プラットフォームを提供する新会社を設立

2022.04.08
2022年4月4日、SynopsysとJuniper Networksは、シリコンフォトニクス・プラットフォームを提供する新会社を合同で設立することを発表した。
プレスリリース
発表によると新会社の提供するシリコンフォトニクス・プラットフォームは、レーザー、光増幅器、検出器を含む全てのフォトニック・コンポーネントを集積しておりTower Semiconductorプロセスでフォトニックチップを実現。既にPDKも用意されている。
Juniper Networksは2016年にシリコンフォトニクスのイノベータと呼ばれたAurrion社を1億6500万ドルで買収。Aurrionの技術を手に入れたことで、光トランシーバの全てのフォトニック・コンポーネントを単一のシリコンフォトニクス・プラットフォームに統合することが可能となった。Juniper Networksはこの技術をもとにTower Semiconductorと共同でシリコンフォトニクス・プロセスを開発してきた経緯がある。
SynopsysはJuniper Networksにフォトニックチップを実現するためのEDAツール一式を提供する立場で既に両社は協力関係を築いていた。今回発表された新会社(社名は後日発表される予定)はSynopsysがその株式の過半数を握るようだが、このような形態、立場でSynopsysが新事業に関わるのは珍しい。データセンターや通信ネットワークなど光接続だけでなく、LiDAR、AI、光コンピューティングなど、多くの分野での適用が期待されるシリコンフォトニクスに対して、より直接的な形でビジネスを展開したいという思惑があるのだろうか。新会社の正式発表を含め今後の展開に注目したい。
なおシリコンフォトニクス関連という意味では先日GlobalFoundriesが次世代のシリコンフォトニクス・プラットフォーム「GF Fotonix」を発表している。
プレスリリース
日本シノプシス合同会社
Juniper Networks

2022年2月の世界半導体市場は前年比26.2%増の500億4000万ドル※修正あり

2022.04.06
2022年4月5日、米SIA(Semiconductor Industry Association)は、2022年2月の世界半導体売上(3ヶ月移動平均)を発表した。 ※内容に修正があります
プレスリリース
SIAの報告によると2022年2月の世界半導体売上は、前年同月比26.2%増、前月比約1.4%減の500億4000万ドルだった。世界半導体市場の勢いは衰えを知らず好調を維持しており、単月売上が前年同時期の実績を上回るのはこれで25ヶ月連続。単月売上が前年比で20%以上増加したのはこれで11か月連続となる。
SIA2022-01.png
2022年2月の世界半導体売上を地域別にみると、先月に続いて北米市場の成長率が前年比40%増を超えており突出した力強さを見せている。日本市場は前年比22.3%と他の市場並みの順調な伸びを示した。
日本市場の売上を円ベースで換算すると前月比約1%減、前年比約33%増の約4,424億円。日本市場の売上が前年同月比プラス成長となるのはこれで15か月連続。
SIA2022-02-02.png
SIA

真の従量課金制と柔軟性をうたうSynopsysの新たなクラウド設計ソリューション「Synopsys Cloud」

2022.04.04
2022年3月30日、Synopsysは新たなクラウド設計ソリューション「Synopsys Cloud」を発表した。
プレスリリース
発表によると「Synopsys Cloud」の大きな目玉は、Microsoft Azure上に用意されたSaaSとしてのチップ開発環境で、Synopsysは同環境を真の従量課金制と柔軟性を実現するものだと表現している。
Synopsysは元々「Synopsys Cloud Solution」としてクラウドベースでEDAツールを利用できるサービスを提供していたが、今回発表された「Synopsys Cloud」は言わばその進化版でクラウド環境上でのEDAツールのライセンス提供形態が大きく変わった。その中心となるのが「FlexEDA」と呼ばれる新しい従量制の課金モデルで、これによりユーザーは文字通り「必要な時に必要なだけ」のEDAツールライセンスを利用することが可能に。Microsoft Azureを利用したSaaSとしての「Synopsys Cloud」を利用すれば、実行したいのEDAジョブに必要なコンピューティング・リソースも自動的に用意される。
クラウド環境を利用したチップ設計というアプローチは、SynopsysとCadenceがサービスを開始し始めた2018年頃から拡がり始め、TSMCやSamsungなどファウンドリも顧客向けに環境を用意するという流れが生まれているが、これまでのクラウドベースの設計環境は、ユーザー企業がクラウド上で使用するEDAツールのライセンス個々に契約し、それに応じたコンピューティング・リソースを何かしらの形で用意する必要があった。しかし、今回Synpopsyが発表したSaaSとしての「Synopsys Cloud」は、そういったEDAツールの個別契約やコンピューティング・リソースの確保が一切不要となる画期的なもので、ユーザーはブラウザベースでいつでも自由に1分単位でSynosysのEDAツールを用いた設計・検証を実行できるようになる。
cloud2.svg.imgo.jpg
※画像はSynopsys Web上のデータ
言うまでもなくこの「Synopsys Cloud」の活用メリットは非常に大きく、同環境を利用すれば設計チームは複雑なIT環境や設計フローにおけるライセンス管理を行う必要が無くなる。(設計の全てをSynopsysのツールで完結すればの話だが。)更には予算や進捗に合わせたより柔軟な設計プロジェクトの管理が可能となる。「Synopsys Cloud」にはEDAツールの利用に関する洞察をユーザーに与えるべく様々な分析用のダッシュボードが用意されているようで、例えば目標性能や目標期限に到達するために必要なEDAツールのライセンス数/コンピューティング・リソース/コストを割り出し、それに応じて作業を進めるといったことも可能となるようだ。
なお、Synopsysが開発した特許出願中の「FlexEDA」と呼ばれる新しいEDAツールの従量制課金モデルは、ユーザー企業が独自のクラウド環境を利用する場合でも使用することが可能。但しその場合は1分単位ではなく1時間単位での従量課金となり、EDAツールを実行するためのコンピューティング・リソースは自前/自力で用意する形となる。
チップ設計のクラウド環境への移行はその多大なメリットから世界的に進行しているが、今回発表された「Synopsys Cloud」によってその流れは更に加速することは間違い無いだろう。Synopsysは現在「Synopsys Cloud」のフル機能を利用できる30日の無料評価ライセンスを提供している。
https://www.synopsys.com/cloud
日本シノプシス合同会社

設計/検証IPのSmartDV、2021年は売上倍増で新規顧客50社以上獲得

2022.03.29
2022年3月16日、設計/検証IPを手掛けるインドのSmartDVは、2021年の営業実績について発表した。
プレスリリース
発表によるとSmartDVの2021年の売上は前年の倍以上の約108%増を達成。新たな顧客を50社以上獲得したとしている。なお、同社にとって2番目に大きな市場であるアジア太平洋地域のIPビジネスの売上は2020年から10倍以上の規模に拡大。最大市場の北米では売上が前年比56.2%伸びたという。
SmartDVは好調な売上の背景として、豊富な製品ラインナップ、強力/迅速な常時サポート体制、IPのカスタムを容易にする同社のSmartCompiler技術を挙げている。いずれも同社の強みとして他社との差別化に寄与するものだ。
SmartDVによると同社の顧客数はワールドワイドで300社以上。その中には半導体上位10社のうちの7社、コンスーマエレクトロニクスの上位4社が含まれているとのこと。
SmartDV
株式会社ネクストリーム(SmartDV日本代理店)

Cadenceのクラウド設計環境をGlobal Foundriesが22FDXプロセスで認定

2022.03.29
2022年3月24日、CadenceはGlobal Foundoriesによる設計環境の採用事例を発表した。
プレスリリース
今回の発表はCadenceがAWS上で提供するクラウド設計環境がGlobal Foundriesの22FDXプロセス向けに認定されたというもの。Global Foundriesの主力プロセス22FDXを利用する多数の顧客がCadenceのクラウド環境を活用できるようになったという点、すなわちクラウド環境の利用がより当たり前になってきたという意味で今回の発表はインパクトがある。
Cadenceは2018年、クラウドベースのソリューション発表時からAmazon AWSと密接に連携しており、AWSをサポートする自社管理のクラウド設計環境とユーザー管理のクラウド設計環境の両タイプのソリューションを提供している。
今回Global Foundriesが認定したのは、ユーザーが自社の管理するクラウド環境上でCadenceのEDAツールを利用できる「Cadence Cloud Passport」と呼ばれるソリューションで、実際にオンチップメモリーソリューションを提供するXenergic社が同ソリューションを活用し、Cadenceの「Tensilica® Fusion F1 DSP」を用いた低消費電力メモリーテストチップのテープアウトに一度で成功したという。Xenergic社の担当者はクラウド環境の活用で設計生産性を5倍向上することができたとコメントしている。
日本ケイデンス・デザイン・システムズ社
Global Foundries

世界のファウンドリ市場は3年連続で20%以上の成長を続ける

2022.03.23
2022年3月8日、IC Insightsのレポート:
2022 to Mark the Third Year in a Row of ?20% Growth for the Foundry Market
半導体業界の調査会社IC Insightsによると、専業およびIDMを含む世界の半導体ファウンドリ事業の売上は、2020年は前年比21%増、2021年は前年比26%増という実績に続いて、2022年は前年比20%増となる見通しで、2002年から2004年までの3年間以来の3年連続20%以上の成長継続となる。
2021年ファウンドリ売上トップ12社のうち9社はアジア太平洋地域が本拠地の会社で、うち中国企業の市場シェアは前年比0.9%増の8.9%で過去最高のシェアを獲得した2006年の11.4%よりも少ない。中国最大のファウンドリSMICが米国のエンティティリストに加えられたことなどから、IC Insightsは2026年まで中国企業のシェアはさほど伸びないと予測している。
IC Insights

SiFiveのグループ会社韓国SEMIFIVEがミックスドシグナルIPの米Analog Bitsを買収

2022.03.23
2022年3月22日、SiFiveのグループ会社である韓国の設計会社SEMIFIVEは、ミックスドシグナルIPを手掛ける米Analog Bitsの買収を発表した。
プレスリリース
Analog Bitsは1995年創業のIPベンダで本拠地はカリフォルニア州サニーベール。PLL、オシレーター、センサー、SERDES、各種I/Oなど、75以上のプロセスをサポートする1000種以上の幅広いIP製品を提供しており、顧客数は400社を超える。
SiFiveの支援を受けて2018年に創業した韓国SEMIFIVEは、先端SoCなどの設計サービスを手掛けるベンチャーでSamsungファウンドリとの強いパイプを持ち、今年ソフトバンクなどから約125億円の資金調達に成功している。同社は既に韓国国内で設計会社など3社を買収しており、今回の買収により従業員数は350名以上となる。
Analog Bitsの買収はSEMIFIVEの設計サービスの付加価値を高めるためのもので、同社は数年以内の株式上場を目指している。今回の買収など同社の動きをみていると、SiFiveのソリューションを核とした低コストで短納期がうりの同社の設計受託事業はかなり好調な様子だ。
SEMIFIVE
Analog Bits

SiFiveが新たに1億7500万ドルの資金調達に成功

2022.03.17
2022年3月16日、RISC-Vプロセッサを手掛けるSiFiveは、同社6度目の資金調達で1億7500万ドルの投資を集めたことを発表した。
プレスリリース
発表によると今回の資金調達を主導したのはグローバルなテクノロジー投資会社であるCoatueManagement社。SiFiveの企業価値は25億ドル以上と評価されたという。
SiFiveの累計調達額は3億5000万ドル。同社の主な投資家としては、AMD(Xilinxを通じて)、Ibex Investors、Intel Capital、Osage University Partners、Prosperity7、Qualcomm Ventures、Samsung Ventures、SK hynix、Spark Capital、Sutter Hill Ventures、WesternDigitalCapitalなどが名を連ねている。
SiFiveは既にRISC-Vベースのビジネスで確固たる地位と実績を築いており、SiFiveによるとハイパースケール企業数社、半導体企業の上位10社のうち8社などを含む100社以上の顧客を有しているという。
SiFive

Aldecが業界に先駆けてロシアでの営業活動停止を発表、ウクライナ侵攻を非難

2022.03.15
2022年3月14日、論理シミュレータ他、各種ASIC/FPGA設計ツールを手掛ける米Aldecは、ロシアでの営業活動の一時停止を発表した。
プレスリリース
Aldecの営業活動停止はロシアのウクライナ侵攻に対する同社のスタンスを明確に示すもので、Aldecはロシアの侵攻を非難すると同時に同社ウクライナ従業員の保護を進めており、ポーランドのジャルノビエツでウクライナの従業員(現在および元)とその近親者に仮設住宅を提供しているという。
既に米国政府は半導体など57品目のロシアへの輸出禁止を発表しているが、EDAベンダとしてロシアでの営業停止を発表するのはAldecが業界初。遅かれ早かれ他のEDAベンダも同様の措置を取らざるを得なくなるだろう。
アルデック・ジャパン株式会社

今年の半導体業界の設備投資は前年比24%増の1900億ドル超で過去最高

2022.03.10
2022年3月1日、IC Insightsのレポート:
Semi Industry Capex Forecast to Jump 24% and Reach Over $190 Billion This Year
半導体業界の調査会社IC Insightsによると、今年の半導体業界の設備投資額は前年比24%増の1,904億ドルに到達する見通し。この投資額は業界過去最高で3年前の2019年と比較すると86%増となる。2020年、2021年と2年連続で前年比2桁増を達成しているが、3年連続で前年比2桁増となるのは1993-1995年以来。
スクリーンショット 2022-03-10 6.24.25.png
※画像はIC Insightsのデータ
2022年の設備投資額が前年比40%以上増える企業は計13社で、これら13社で前年比52%増の918億ドルを支出する見通し。13社の中にはメモリ大手3社は含まれていないが、ファウンドリ大手3社やアナログICベンダの上位各社が含まれている。これらの半導体ベンダの大多数は現在の需要の急増に対応しているが、今後数年間でパンデミック前のレベルに近い投資に戻る可能性がある。
IC Insights

2022年1月の世界半導体市場は前年比26.8%増の507億4000万ドル

2022.03.05
2022年3月3日、米SIA(Semiconductor Industry Association)は、2022年1月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2022年1月の世界半導体売上は、前年同月比26.8%増、前月比約0.2%減の507億4000万ドルで1月として過去最高の単月売上を記録した。2021年4月から9ヶ月続いていた単月売上記録の更新はストップしたが世界半導体市場は依然好調で、単月売上が前年同時期の実績を上回るのは24ヶ月連続となる。
SIA2022-01.png
2022年1月の世界半導体売上を地域別にみると、北米市場の成長率が最も高く前年比40.2%増と大きく成長。北米が市場を牽引する傾向は昨年から引き続き継続している。日本市場は前年比18.9%と20%超えはならなかったが好調維持。日本以外の市場は軒並み20%を超える順調な伸びを示した。
日本市場の売上を円ベースで換算すると前月比約0.3%減、前年比約31.7%増の約4,467億円。日本市場の売上が前年同月比プラス成長となるのはこれで14か月連続。
SIA2022-01-2.png
SIA

GraphcoreがTSMCの3D技術でAIプロセッサを40%高速化、スパコン開発計画も発表

2022.03.04
2022年3月3日、データセンター向けのAIプロセッサを手掛ける英Graphcoreは、新型のAIプロセッサ「Bow IPU」を発表した。
プレスリリース
IEEE Spectrumの記事:Graphcore Uses TSMC 3D Chip Tech to Speed AI by 40%
発表によるとGraphcoreの新製品「Bow IPU」はTSMCの3D技術「Wafer-on-Wafer」を用いた市場初の商用チップで、同チップのベースとなっている「Colossus MK2 IPU」と同じTSMCのN7プロセスを用いて製造されている。「Wafer-on-Wafer」は文字通り2枚のウエハを貼り合わせて3Dダイを作る技術で、Graphcoreはこの技術を電力効率の最適化と電力供給の向上をウエハレベルで実現するために利用した。
Graphcoreによると、2枚のウエハのうち片方はAI処理用のプロセッサ・ダイで既存の「Colossus MK2 IPU」と同一、もう一方のウエハは電源供給ダイを搭載したウエハでコンデンサと「BTSV」と呼ばれる新しいタイプのシリコン貫通ビアが詰め込まれており、それらによりプロセッサ・ダイへ直接的かつスムーズに電力供給が行われる。その結果プロセッサ・コアがより低い電圧でより高速に動作できるようになるため、「Bow IPU」と「Colossus MK2 IPU」は中身は同じプロセッサでありながら動作速度が1.85GHzに向上、性能は最大40%、電力効率は最大16%高められるという。
2022-03-04 16.20.04.png
※画像はIEEE Spectrumの記事より引用
特筆すべきは、ユーザーはソフトウェアの変更を一切変更することなく「Colossus MK2 IPU」から「Bow IPU」に移行できる点で、Graphcoreは電源供給ダイを追加してアップグレードした「Bow IPU」を元の「Colossus MK2 IPU」と同じ価格で販売している。「Bow IPU」を搭載するシステム「Bow Pod」は256チップ搭載の「Bow Pod-256システム」で89ペタフロップス、1024チップ搭載の「Bow Pod-1024」で350ペタフロップスの演算能力を提供する。
なおGraphcoreは今後の計画として、ニューラルネットワークに数百兆のパラメーターを持つ「脳規模」のAIをトレーニングできるスーパーコンピューターを構築することを発表。英国の数学者Jack Goodにちなんで名付けた「GoodComputer」は、8,192の「Bow IPU」を備えたシステムで10エクサフロップスを超える性能を実現。(富嶽のピーク性能が1.5エクサフロップス)同製品は2024年に納品予定でそのコストは約1億2,000万米ドルになる見通しだという。
Graphcore

IC Insightsによるマイクロプロセッサ市場の見通し

2022.03.01
2022年2月23日、IC Insightsのレポート:
Microprocessor Growth Will Slow in 2022 after Cellphone MPU Surge
2021年のマイクロプロセッサの市場は前年比14%増1,029億ドルで過去最高を記録した。2020年は前年比16%増で2年連続2ケタ成長となったが、IC Insightsは2022年のマイクロプロセッサ市場の成長率は7%程度で約1101億ドル、マイクロプロセッサの出荷数は前年比6%増の26億ユニットと予測している。
2021年は携帯電話向けアプリケーション・プロセッサの売上が前年比31%増で過去最高の350億ドルと大きく伸びた。5G,AIなどのスマホの高機能化がその背景にある。2022年は10%の成長で384億ドル程度となる見通し。
コンピュータ向けCPUの売上は前年比4%増の485億ドルで成長率では2020年の14%増を下回った。2020年はCOVID-19の影響で市場が急増した。2022のCPU市場は4%増の505億ドルで過去最高を更新する見通し。
その他、産業、通信、自動車、IoTなど組み込みマイクロプロセッサの売上は、2021年,2020年ともに11%増の成長。2022年には9%増の約215億ドルになると予測されている。
IC Insightsは向こう5年間の長期的な予測として、マイクロプロセッサ売上の2021年から2026年の平均成長率は5.3%増、2026年に売上1,333億ドルに到達するとしている。その内訳は、携帯電話向けアプリケーション・プロセッサの売上が平均成長率6.3%で474億ドル。CPUの売上が平均成長率3.7%で581億ドル。組み込みMPUの売上が平均成長率7.2%で278億ドルとしている。
IC Insights

Cadence売上報告、2021会計年度は前年比11.4%増の29億8800万ドル

2022.02.24
2022年2月22日、Cadenceは、2021会計年度第4四半期(2021年10-12月)の売上を報告した。
プレスリリース
Cadenceの2021年Q4売上は、前年比約1.7%増、前期Q2比約2.9%増の7億7300万ドル、営業利益は前年比約1.7%増の1億7700万ドルだった。(※GAAP基準による会計結果)このQ4実績は同社の四半期売上記録として過去最高。Cadenceは2020年Q4から5四半期連続で売上7億ドル超を維持している。
Cadenceの2021会計年度(21年1月-12月)の売上合計は前年比約11.4%増の29億8800万ドル、営業利益は前年比約17.8%増の6億9600万ドルという結果だった。
スクリーンショット 2022-02-24 7.59.15.png
CadenceはこのQ4に以下のような新製品およびニュースを発表している。
新製品「Integrity 3D-ICプラットフォーム」を発表
Cadence, TSMC, Microsoftの3社でクラウド環境の活用によるサインオフ検証の高速化に向けて協業
Cadenceは2022年Q1の売上を8億5000万-7000万ドルと予測。2022年の年間売上を33億2000-8000万ドル程度と予測している。
Cadence株価推移
日本ケイデンス・デザイン・システムズ社

CHIPS AllianceにXilinxが加わりオープンソースのFPGAツールチェーンの整備が加速

2022.02.22
2022年2月18日、オープンソースのチップ設計を目指すLinux FoundationのChips Allianceは、オープンソースのFPGA設計ツールの普及を目指す「FOSS Flow For FPGA (F4PGA) ワーキング・グループ」の新設を発表した。
プレスリリース
新たに発足したF4PGAワーキング・グループのメンバーとして名を連ねるのは、FPGAベンダXilinxとQuickLogic、FPGAユーザーの立場であるGoogle、 Antmicro、そして米ブリガム・ヤング大、ペンシルバニア大、プリンストン大、カナダのトロント大といった大学で、同ワーキング・グループはオープンソースのFPGAツールチェーン「SymbiFlow」とオープンソースとクローズドソースのFPGAツールチェーン間の相互運用を可能にするために開発された「FPGA Interchange Format」にフォーカスして活動していくという。
異なるツールによる設計障壁を取り払う「FPGA Interchange Format」を開発した中心メンバーは、GoogleとAntmicroでXilinxも開発に協力している。Xilinxは、自社FPGAへのカスタム実装を可能とするツールとAPIのセットであるJavaベースのオープンソース・プラットフォーム「RapidWright」を「FPGA Interchange Format」に対応するように改良したという話だ。
※FPGA Interchange Formatに関する詳細はこちら(Googleブログ)
実は今回のF4PGAワーキング・グループの発足に先駆けて、2月3日にXilinxがCHIPS Allianceに加盟したことが発表されていた。
プレスリリース
買収により現在はAMDの一部となっているが、FPGA市場のトップベンダであるXilinxがChips Allianceに加わる事でオープンソースのFPGAツールチェーンの整備は更に加速することになるだろう。なおChips AllianceではツールだけでなくFPGA向けのオープンソースIPの提供なども活動計画に含めており、将来的にはFPGA向けの各種オープンソースIPの拡充なども期待される。
Chips Alliance
Xilinx

Synopsys売上報告、2022年度Q1は前年比31%増の12億7000万ドルで過去最高

2022.02.18
2022年2月17日、Synopsysは、2022会計年度第1四半期(21年11-22年1月)の四半期決算を報告した。
プレスリリース
発表によるとSynopsysの2022会計年度Q1の売上は、前年同時期比約30.9%増、前Q4比約10.2%増の12億7000万ドル、営業利益は前年比約93.3%増の3億1370万ドルだった。(※GAAP基準による会計結果)このQ1売上は同社の四半期売り上げとして過去最高の実績。Synopsysは2021年度Q3から3四半期連続で売上記録の更新を続けている。ビジネスが非常に好調であるということだ。
スクリーンショット 2022-02-18 10.46.10.png
SynopsysはQ1(21年11-22年1月)において以下のような発表を行なっている。
AIテクノロジを用いたリアルタイム・パフォーマンス最適化ソリューションの リーディング・カンパニー Concertio社を買収
Samsungが最先端のモバイルSoC設計でSynopsysのAIエンジンを活用
Fusion Compilerを用いた顧客のテープアウトが500件を超過
キャラクタライゼーションツールSiliconSmartが TSMC社の最先端プロセス N5/N4/N3の認証を取得
Synopsysは、2022年度Q2(2月-4月)の売上を12億4000万-7000万ドル、2022会計年度の売上を47億7500万-48億2500万ドルと予測を上方修正している。
Synopsys株価推移
日本シノプシス合同会社

2021年世界半導体市場は過去最高の5,559億ドル、前年比26.2%増

2022.02.17
2022年2月14日、米SIA(Semiconductor Industry Association)は、2021年12月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2021年12月の世界半導体売上は、前年同月比28.3%増、前月比約2.3%増の508億5000万ドルで過去最高の単月売上を記録。初めて500億ドルの大台に乗せた。結果的に2021年の半導体市場は4月から12月まで9ヶ月連続で単月売上記録を更新。単月売上が前年同時期の実績を上回るのは23ヶ月連続で、2021年の売上総額は過去最高を記録した2018年の4,688億ドルを大きく上回り5,559億ドルを記録した。
スクリーンショット 2022-02-17 6.10.54.png
SIAによると半導体業界が2021年に出荷した半導体は1.15兆ユニット。世界的なチップ不足の中で半導体ベンダが高い需要に対応するために生産を増やした結果だとしている。SIAのCEOは、更に今後数年間で半導体需要は大幅に増加する見通しであるため、米国の立ち位置強化に向けて米国政府は半導体への投資に迅速に資金を提供すべきだとコメントしている。
2021年の世界半導体売上を地域別にみると、北米市場の成長率が最も高く前年比27.4%増、最大市場の中国は27.1%増の1,925億ドル。欧州は27.3%増、アジア太平洋/その他地域は25.9%増、日本19.8%増と全ての地域で前年比2桁増のプラス成長を記録した。
2021年の世界半導体売上を製品セグメント別に見ると、アナログ製品が成長率33.1%と最も高く、2021年の売上は740億ドルに達した。ロジック製品は前年比30.8%増の1,548億ドル、メモリ製品は前年比30.9%増の1,538億ドルで両セグメントが全体の半分以上を占めた。マイクロプロセッサを含むマイクロIC製品の売上は15.1%増の802億ドルだった。なお、非メモリ製品の売上は前年比24.5%増、自動車用ICの売上は前年比34.3%増で過去最高の264億ドルに達したという。
SIA

半導体市場の向こう5年間の平均成長率は7.1% IC Insightsの予測

2022.02.16
2022年2月10日、IC Insightsのレポート:
Semiconductor Sales To Rise at 7.1% CAGR Through 2026
半導体市場の調査会社IC Insightsの予測では、2021年から2026年の半導体市場の平均成長率は7.1%と直近5年間よりも緩やかな成長に落ち着く見通し。
2020年は11%, 2021年は25%と成長し、2016年から2021年までの平均成長率は11.0%と力強い成長を見せた。2022年の成長率は11%と予測されており、これが実現すると1993-1995年以来の3年連続の2桁成長となる。
2022年、主要なIC市場で最も成長が見込まれているのはロジックIC市場で、IC Insightsはメモリを上回る7.9%の成長を予測している。自動車向けの専用ロジックデバイスの成長が同分野の強力な推進力となっているようだ。
近年好調を維持している半導体市場だが、IC Insightsは半導体業界は非常に循環的であり継続的な2桁成長は持続不可能であるとしている。
IC Insights

AMDによるXilinxの買収が完了

2022.02.15
2022年2月14日、AMDはXilinxの買収が完了したことを発表した。
プレスリリース
AMDによるXilinxの買収は株式交換と現金で約350億ドルの取引とされている。
Xilinxは直近の2022会計年度Q3(21年10-12月)の四半期決算で初の売上10億ドル超えを達成しており、2022会計年度は計40億ドル近くの売上が見込まれていた。
Xilinxの事業はAMDに新設される「Adaptiveand Embedded Computing Group(AECG)」に移行し、同部門はXilinxのCEO VictorPeng氏が率いる。
Xilinxの製品は今まで通り一般ユーザーに提供され、サポート体制や製品の開発ロードマップも維持される。
FPGAという市場はXilinxとAlteraの2社が作り、長年両社が市場をリードしてきたが、2015年にIntelがAlteraを167億で買収。 今回のAMDの買収により、FPGA市場を開拓・牽引してきた両雄の社名がついに消えてしまった。
データセンターの急成長や機械学習の普及に伴うコンピューティング技術の進化により、FPGAの役割、価値、立ち位置はこの数年で大きく変わった。ハイエンドFPGAという製品が汎用プロセッサ・ベンダの下で今後どのような進化を遂げていくのか。今回の買収はFPGAの歴史の一区切りとなるだろう。
Xilinx

Intelがファウンドリ強化のための10億ドルのファンドを設立しRISC-V市場を支援

2022.02.08
2022年2月7日、Intelはファウンドリ・イノベーションのための10億ドルのファンド設立を発表した。
プレスリリース
発表によると10億ドルのファンドは、IFS(インテル・ファウンドリ・サービス)とIntelキャピタルによるもので、ファウンドリ・エコシステム向けに破壊的技術を構築する企業を支援するとしている。具体的にはソフトウェア・ツール、革新的なチップ・アーキテクチャ、高度パッケージング技術など、ファウンドリ顧客の市場投入時間の短縮に貢献する技術に投資を行う。その背景にはチップレットベースのモジュール式設計のためのエコシステムを構築したいというIntelの思惑があり、それは当然自社ファブへの顧客囲い込みというIntelの利益へと繋がる。
Intelはこの発表と同時にRISC-V Internationalへの参画を発表。プレミアメンバーとしてRISC-V Internationalの運営に役員を送り込み、RISC-Vエコシステムを強力に支援することを表明している。RISC-V Internationalおよび既存の主要なRISC-V市場のプレーヤーはIntelの10億ドルファンドをRISC-V市場への投資と受け止め歓迎している。
更にIntelは自社ファウンドリサービスのエコシステム・アライアンス「IFS Accelerator」の立ち上げも発表。同アライアンスは、EDAツール、IP、設計サービスの3つの側面からファウンドリ顧客の支援を強化するためのもので、初期アライアンス・メンバーとして下記の18社が名を連ねている。
EDAアライアンス:Ansys、Cadence、Siemens EDA、Synopsys
IPアライアンス:Alphawave、Analog Bits、Andes、Arm、Cadence、eMemory、M31、SiFive、Silicon Creations、Synopsys、Vidatronic
デザインサービスアライアンス:Capgemini、Tech Mahindra、Wipro
今回の一連の発表は恐らく米議会下院による2022年度アメリカ競争法の可決を受けたもので、半導体製造に関する520億ドルの補助金という米政府の強力な支援を背景に準備されたプロジェクトと見て取れる。Intelはx86、Arm、RISC-V、全てに対応できる唯一のファウンドリとファウンドリ・ビジネスの拡張に向けて意気込んでおり、RISC-V市場への投資とファウンドリ・エコシステムの強化によりその実現を目指す。
Intel

CEVAの新製品、性能強化されたエッジAIプロセッサとチップレット向けセキュリティIP

2022.02.01
2022年1月6日、DSPコアを手掛けるCEVAは新たなエッジAI向けのプロセッサ・アーキテクチャ「NeuPro-M」を発表した。
プレスリリース
「NeuPro-M」は既存のAIプロセッサ「NeuPro」の後継となるプロセッサで、アーキテクチャの刷新によりパフォーマンスが大幅に引き上げられた。
CEVAの説明によると「NeuPro-M」のパフォーマンスは既存の「NeuPro」の5〜15倍。製品としては「NPM」と呼ぶエンジンを1つだけ搭載する「NPM11」コアと8つのエンジンを搭載する「NPM18」コアがあり、それぞれ最大20TOP/160TOPSの処理能力(1.25GHz動作)、CEVAは各コアをスケーラブルに利用することで最大1200TOPSの処理が可能としている。
エンジン「NMP」の中には、2〜16ビット混合精度の4K MAC、畳み込み時間を半減し0.5%未満の精度で8ビットの畳み込み処理が可能なWinograd変換エンジン、レイヤーごとにゼロ値の重みまたはアクティブ化を回避するスパース性エンジン、プログラム可能なベクトル処理ユニットが含まれており、従来製品比5倍以上の演算パフォーマンスでディープニューラルネットワークの多様なワークロードを処理すると同時に、メモリ帯域幅の削減(6分の1)、最大24TOPS/ワットのエネルギー効率、プロセッサ全体の使用率90%以上を実現するという。
03_01_22_NeuPro-M_Architecture_Block_Diagram_v6-e1641465477536.jpg
なお「NeuPro-M」は、豊富なオプションでセキュリティ性も高められているほか、自動車分野を意識した機能安全規格への対応も行われており、「NeuPro-M」コアとその開発環境「CDNN(CEVAディープニューラルネットワーク・コンパイラ)」およびソフトウェアツールキットは、ISO26262 ASIL-Bに準拠し、自動車業界の品質保証規格IATF16949およびA-Spiceを満たしているとのこと。CEVAのAIプロセッサとCDNNを採用している数十の顧客の中には、ADAS向けに利用している顧客もいるようだ。
もう一つのCEVAの新製品は、チップレットのダイ間通信用のセキュリティIPで2022年1月26日に発表された。
プレスリリース
新製品「Fortrix™SecureD2D IP」は、ヘテロジニアスSoC内の異なるチップレット間の安全なデータ通信を可能にするハードウェアIPで、昨年5月に買収したIntrinsix社の技術がベースとなっている。具体的には、ECDSA、SHA2、AESなどの暗号化機能を有効にするために高速暗号化と復号化を実行するハードウェアベースの暗号化アクセラレーターとセキュア・ファブリックを介して通信するコントローラーで構成されている。

スクリーンショット 2022-02-01 10.36.34.png
※画像は全てCEVA Web上のデータ
CEVAによると同セキュリティIPは、米国防総省のチップレットシステムの開発プログラム(SHIP)で活用されているほか、ロッキードマーティンや大手半導体企業によってすでに採用されているという。
CEVA

Zoom オンライン・インタビュー第7弾 Imagination Technologiesに新製品「RISC-V CPU」について聞く

2022.01.28
EDA Express オンライン・インタビューは、ハードウェア設計関連の様々なテーマについて、ゲストを招待してオンライン(Zoom)で色々な話をライブで聴くという企画です。
特定のテーマについてゲストに話を聞くだけでなく、聴講者の方々も含めた参加者全員が情報交換や意見交換できるよう、少人数制のミーティング形式で開催します。
Zoomでの顔出しは自由、発言、チャットは基本的に制限無し。オープンな形でありながら、クローズドなライブ・イベントとして開催しますので、情報収集の場、業界関係者とのコミュニケーションの場としてご利用下さい。
オンライン・インタビュー企画の第7弾は、「 Imagination Technologiesに新製品RISC-V CPUについて聞く」と題して、Imagination Technologiesの方々ををゲストに招いて開催します。
組み込み向けのGPU「PowerVR」を提供するIPベンダとして有名なImagination TechnologiesがRISC-Vベースの独自のCPU製品を提供し始めました。
参考ニュース:Imagination TechnologiesがRISC-VベースのCPU製品ライン「Catapult」を発表
今回は通常のインタビューとは若干趣向を変え、新製品「Catapult」の紹介を行って頂き、それについて色々と質問していきたいと思います。
 EDA Express オンライン・インタビュー 第7回
 テーマ  :Imagination Technologiesに新製品「RISC-V CPU」について聞く
 ゲスト  :Imagination Technologiesの皆様
 開催日時 :2月16日(水)17:00-18:00 ※途中入退出可能
 参加   :無料、最大20名程度の参加者を想定しています
インタビュー構成:
・Imagination Technologiesの紹介
    -簡単な企業プロフィール、最近の動向など
・RISC-Vプロセッサ製品「Catapult」の紹介(英国本社の方によるプレゼン)
 -プレゼンへの質疑応答
・その他製品の紹介(AI/MLコア、GPUなど)
・インタビュー参加者からの質問
 -事前に受け取った質問、その場での質問
 インタビュアーはEDA Express 菰田が担当。インタビュー終了後は雑談タイムとします。
 お申し込み:こちら(Googleフォーム) よりお願いします。
      
 ※オンライン・インタビューに関するご質問等はこちらまでお願いします。

AndesのRISC-V CPUを搭載したSoCの出荷数が2021年の1年間で30億を超える

2022.01.28
2022年1月27日、DigiTimes ASIAの記事:
Andes RISC-V SoC shipments top 3 billion units in 2021
台湾のIPベンダAndes Technologyの会長兼CEO FrankwellLin氏によると、同社のプロセッサIPを採用したSoCチップの出荷台数は2021年に30億ユニットを超えた。2017〜2021年の平均成長率は50%に相当。同社のRISC-Vコアを搭載したSoCの出荷台数は、2017年は5億9千万台だった。その累計出荷台数は2021年末で100億台を超えたという。
これらSoCの適用分野は5G通信、AIアクセラレータ、BluetoothおよびWi-Fiデバイス、クラウドコンピューティング、ゲーム、IoTデバイス、ワイヤレスネットワーキング、自動車用電子機器、ハイエンドMCU、センサーフュージョンなど新しい技術ドメインに広く適用されているため、急速な成長の波が来ると予測しているという。
またAndesは2月上旬に初の車載用プロセッサIPをリリースし自動車分野に正式に進出する計画。
同社の2021年の売上は前年比40%以上増で過去最高の8億1900万台湾ドル(2946万米ドル)。
Andesは自社のRISC-V SoCの需要は2025年までに100%以上の平均成長率を達成し、それに伴う車載用アプリケーションの成長率は250%以上に達すると予測している。
Andes Technology
DigiTimes ASIA

Xilinxの四半期売上がついに10億ドル突破、AMDによる買収完了間近

2022.01.28
2022年1月26日、Xilinxは2022会計年度Q3(21年10-12月)の四半期決算を発表した。
プレスリリース
発表によるとXilinxの2022Q3の売上は前年比28%増、前Q2比8%増の10億1,100万ドルで過去最高となる業績を残した。同社の四半期売上が10億ドルを超えるのはこれが初めて。純利益は3億ドルで前年同時期と比較すると76%増と大きく伸ばした。(GAAP基準による会計結果)
売上の主軸である宇宙航空/防衛、インダストリアル、テスト/計測/エミュレーション部門の売上は前年比28%増と売上を拡大、全売上の46%を占めた。
好調のデータセンター部門は前年比81%増と大きく成長し、全売上に占める割合を11%に伸ばした。(2021年Q3では7%だった)
自動車、放送、ブロードキャスト部門は前Q2より僅かに売上が減少したが前年比では28%増と成長した。
有線/無線部門はQ2から18%のマイナス。前年比では1%の成長だった。Xilinxはチップの供給の問題が大きく影響したとしている。
XilinxはAMDによる買収に合意しているが、同買収についてはようやく中国当局が承認した模様で間もなく買収完了となる見通し。買収額は350億ドル(約4兆円)。
Xilinx

早ければ2022年Q4から半導体業界のリセッションが始まる

2022.01.25
2022年1月19日、eeNews EUROPEの記事:
Prepare for chip recession says analyst
半導体業界にフォーカスしたイギリスの調査会社Future HorizonsのCEO Malcolm Penn氏は、半導体業界のリセッションが2022年Q4または2023年Q1に始まると予測している。
同氏の予測では、2022年の半導体市場の年間成長率は10%で、第4四半期にリセッションにより大きな打撃を受けるという。リセッションが2023年にずれ込んだ場合、2022年の年間成長率は14%にも達する可能性があり、リセッションが早く訪れた場合、2022年の成長率は4%程度になる可能性があるとしている。
他のアナリストも2023年に生産能力が需要を上回ることを予測しており、米国と欧州で進められているfab建設=オンショアリングへの移行が大きなリスクとして挙げられている。
Malcolm Penn氏は、現在の需要増大は販売価格の上昇やオーバーブッキング(重複発注)が含まれており、企業が在庫の償却をはじめると注文は無くなると指摘している。
またMalcolm Penn氏はEDAツール市場に着目し、同市場の大きな成長は独自チップを開発する企業が増えているためと指摘。Google, Facebook, Amazon, Teslaといった企業は独自チップの開発を継続する可能性が高いと語った。すなわち、半導体市場からのチップ調達が減るということだ。
eeNews EUROPE

中国上海市政府はEDAツールの開発にも最大18億円を助成する

2022.01.25
2022年1月24日、DigiTimes ASIAの記事:
Shanghai incentivizes development of IC equipment, EDA tools
中国の上海市政府はチップ産業の発展を加速するため、半導体材料、製造装置、EDAツールの開発・生産に対する以下のような奨励策を打ち出した。
・上海市における半導体材料、製造装置、EDAツールの開発プロジェクトに1億人民元(約18億円)を上限に投資額の30%を補助
また、28nm以下の半導体チップの欠陥検査に向けた投資も30%補助の対象となるほか、上海で働くことを選択した半導体専門家には、住宅支援に加えて50万人民元(約900万円)の手当が支給される。
この政策は過去のものより強力で、同市の半導体産業における主導的地位を固めようという上海政府の決意を示すものだという。上海には中国最大のファウンドリSMICがあり、同市は半導体とAIへの投資を進めている。
中国では半導体の自給自足を国策として目指しているが、製造も設計も依然海外の技術に依存している。米中間の技術戦争の激化により、例えばASMLのEUV露光装置を輸入できないといった事も起き始めており、海外技術への依存に危機感を募らせているようだ。ちなみにEDAツールおよび設計IPの市場において、中国企業のシェアは1%未満だという。(EDA/IPの消費については市場の30%以上は中国企業)
DigiTimes ASIA

ルネサスの32ビットマイコン「RXファミリ」の累計出荷数が10億個を突破

2022.01.21
2022年1月20日、ルネサス エレクトロニクスは同社の32ビットマイコン「RXファミリ」の累計出荷数が10億個を突破したことを発表した。
プレスリリース
ルネサスによるとRXファミリの発売は2009年。現在、RX100、RX200、RX600、RX700と4シリーズの製品を販売しており、汎用、モータ制御用、タッチセンシング用、産業イーサネット用などのラインアップを展開している。市場としては、民生、産業、IoTなどが強く、家庭用エアコン室外機市場ではインバータ制御分野で高い評価を得ており、世界の主要メーカの70%以上に採用されているという。
近年ではコロナ禍においてRXマイコンを用いた人工呼吸器ソリューションや非接触UIソリューションの提供にも力を入れており、RXマイコンは2021年に前年比30%以上プラスの売上高350億円を超える見込みとのこと。2022年には更に30%以上の成長を見込んでおり、2024年以降には次世代コアの投入を計画しているという話だ。
ルネサス エレクトロニクス株式会社

組み込みシステム向けセキュリティIPのSecure-ICが2000万ユーロの資金調達に成功

2022.01.21
2022年1月20日、組み込みシステム向けセキュリティIPやソフトウェアを手掛けるフランスのベンチャーSecure-ICは、同社初の資金調達の完了を発表した。
プレスリリース
Secure-ICはICセキュリティ研究の専門家が起業したフランス企業で、創業は2010年、従業員は100人以上で40以上の国際特許を保有し世界20か国に顧客をかかえている。
今回Secure-ICは同社初となる資金調達を行い2000万ユーロ(約26億円)の調達に成功した。同社は調達した資金を活用して同社のビジョンである「ChiptoCloud」、セキュリティ・ライフサイクル全体のカバーを進めるとしている。
Secure-IC

Microchipがデータセンター向けSoC開発でCadenceのエミュレータ「Palladium Z2」を採用

2022.01.21
2022年1月20日、CadenceはMicrochipによるエミュレータ「Palladium Z2」の採用事例を発表した。
プレスリリース
発表によるとMicrochipは元々Cadenceのエミュレータ「Palladium Z1」のユーザーで、今回上位新製品の「Palladium Z2」に移行した。モチベーションは検証の効率化で対応可能な回路規模が2倍、実行速度が1.5倍に改善された「Palladium Z2」により、データセンター向けの大規模なマルチチップシステムの製品化に成功したという。Maicrochipは「Palladium Z2」と合わせてFPGAプロトタイピング環境「Protium X2」も導入しており、両製品を活用することで検証の作業負荷をフレキシブルに分散できるようになり、FPGAの試作品立ち上げ、ハードウェアおよびソフトウェア統合のデバッグにかかる検証期間を数週間短縮することができたとしている。
日本ケイデンス・デザイン・システムズ社

2021年Q3、世界EDA市場は前年比17.1%増の34億5810万ドルで記録を更新

2022.01.18
2022年1月17日、米ESD Allianceは、2021年度第3四半期(7月-9月)の世界EDA売上報告を発表した。
プレスリリース
ESD Allianceの発表によると、2021年Q3(7-9月)の世界のEDA売上総額は前年比約17.1%増、前Q1比約8.3%増の34億5810万ドルで、四半期売上記録を更新した。記録の更新は6四半期連続。四半期売上が前年実績を上回るのは11四半期連続となる。
ESDA2021Q3-01.png
2021年Q3のEDA売上をカテゴリ別に見ると全てのカテゴリで前年比増を達成。強力な成長を見せたのは前期同様IP分野でまた四半期売上記録を塗り替えた。
2021年Q3のEDA売上を地域別に見ると、日本市場を含む全ての地域で前年比2桁成長を記録。欧州・中東・アフリカ市場も大きな成長を見せた。
2021年Q3時点でのEDA業界の従業員数は前年比8.7%増の51,182人でQ2よりも約2.4%増加した。
2021年Q3の分野別の売上と昨年同時期との比較は以下の通り。
■CAE分野 10億5470万ドル 13.7%Up 
■IC Physical Design & Verification分野 6億1260万ドル 0.7%Up
■IP分野 13億7330万ドル 30.6%Up
■サービス分野 1億1910万ドル 12.5%Up
■PCB/MCM分野 2億9830万ドル 14.5%Up
ESDA2021-Q3-02.png
2021年Q3の地域別の売上と昨年同時期との比較は以下の通り。
■北米 14億9450万ドル 14.3%Up
■欧州・中東・アフリカ 4億5170万ドル 22.6%Up 
■日本 2億5980万ドル 11.8%Up
■アジアその他地域 12億5210万ドル 19.7%Up
ESDA2021-Q3-03.png
ESDA(Electronic System Design Alliance)

Zoom オンライン・インタビュー第6弾「FPGA設計におけるCDC検証」について

2022.01.17
EDA Express オンライン・インタビューは、ハードウェア設計関連の様々なテーマについて、ゲストを招待してオンライン(Zoom)で色々な話をライブで聴くという企画です。
特定のテーマについてゲストに話を聞くだけでなく、聴講者の方々も含めた参加者全員が情報交換や意見交換できるよう、少人数制のミーティング形式で開催します。
Zoomでの顔出しは自由、発言、チャットは基本的に制限無し。オープンな形でありながら、クローズドなライブ・イベントとして開催しますので、情報収集の場、業界関係者とのコミュニケーションの場としてご利用下さい。
オンライン・インタビュー企画の第6弾は、「どこまで必要?FPGA設計におけるCDC検証について聞いてみる」と題して、アルデック・ジャパン株式会社の栗林雄秀様をゲストに招いて開催します。
「FPGA設計でもCDC検証は重要」という話は以前から言われている話ですが、未だに色々なところでよく耳にします。今回は検証向けEDAツールの提供を通じて幅広い知識をお持ちの栗林様に「FPGA設計でのCDC検証」にフォーカスして話を伺います。「FPGA設計」&「CDC検証」このキーワードにピンと来た方は、是非この機会にインタビューにご参加下さい。FPGAの設計現場でどのようにCDC検証が行われているのか掘り下げていきたいと思います。
 EDA Express オンライン・インタビュー 第6回
 テーマ  :どこまで必要?FPGA設計におけるCDC検証について聞いてみる
 ゲスト  :アルデック・ジャパン株式会社 栗林雄秀様
 開催日時 :2月9日(水)16:00-17:00 ※途中入退出可能
 参加   :無料、最大20名程度の参加者を想定しています
 インタビュー構成:
 ・栗林様のプロフィールについて(職歴、趣味など) 
 ・CDC問題とは?
  -メタスタビリティ
  -FPGAにおけるCDC問題とその要因
 ・CDC問題への対策
  -一般的なアプローチ
 ・CDC検証のすすめ
  -FPGA設計におけるCDC検証、あるべき姿
  -CDC検証のためのソリューション
 ・インタビュー参加者からの質問
 インタビュアーはEDA Express 菰田が担当。インタビュー終了後は雑談タイムとします。
 お申し込み:こちら(Googleフォーム) よりお願いします。
      
 ※オンライン・インタビューに関するご質問等はこちら までお願いします。

ThalesがAldecの検証プラットフォームで業界初となるアビオニクス向けFPGAのTLM検証を実現

2022.01.14
2022年1月13日、論理シミュレータ他、各種ASIC/FPGA設計ツールを手掛ける米Aldecは、同社の提供する「DO-254/ED-80コンプライアンスツールセット(CTS)」の成功事例を発表した。
プレスリリース
今回発表された事例は仏Thales社によるアビオニクス向けFPGAの検証に関するもので、Aldecによると航空機業界においてPCIeベースFPGAデザインの検証にTLMが使用された最初の例だという。
PCIeなど複数の高速シリアルインターフェースで非同期クロックを使用するFPGAデザインのビットレベルの検証では、ボード検証の結果とシミュレーション結果の比較に苦労し、多くの誤ったエラーが観測される可能性があるため、ThalesとAldecはTLMをベースにした新しい検証手法の検討に取り組みこれを成功させた。
Aldecの担当者は以下のようにコメントしている。
「TLMではデザインインタフェースが抽象化されているため、検証時にビットレベルの詳細を扱う必要がありません。トランザクションの管理やシミュレーション結果との関連付けが容易になるため、トレーサビリティの確立も容易になります。また、TLMで使用されるアンタイムドテストベンチは、クロック周波数や位相変化の影響を受けないため、非決定論的な動作を伴うSoC、FPGAデザインの検証に最適です。」
Thalesは現在、Aldecのソリューションを活用したTLMベース検証手法を社内の実プロジェクトで評価しているという。
アルデック・ジャパン株式会社

2021年11月の世界半導体市場は前年比23.5%増の496.9億ドル、8ヶ月連続で過去最高売上を更新中

2022.01.11
2022年1月3日、米SIA(Semiconductor Industry Association)は、2021年1月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2021年11月の世界半導体売上は、前年同月比23.5%増、前月比約1.8%増の496億9000万ドルでまた単月の売上記録を更新した。史上最高の実績を残している2021年の半導体市場は4月から8ヶ月連続で単月売上記録を更新し続けている。単月売上が前年同時期の実績を上回るのはこれで22ヶ月連続で、2021年の売上は過去最高を記録した2018年を大きく上回るのが確実となった。
SIA2021-11.png
2021年11月の世界半導体売上を地域別にみると、日本を除く全ての地域で前年比20%を超えるプラス成長を記録。北米市場が好調を継続しており今月も成長率では市場トップの前年比28.7%だった。
日本市場の成長率は前年比19.5%増と最も低かったが、実績としては十分好調と言える売上を記録。売上を円ベースで換算すると前月比約2.6%増、前年比約30%増の約4,480億円となる。日本市場の売上が前年同月比プラス成長となるのはこれで13か月連続。
SIA2021-11-02.png
※SIA

AMD、NXP、Analog Devicesの3社が今年売上100億ドルを突破する

2021.12.22
2021年12月20日、IC Insightsの記事:
17 Semiconductor Companies Forecast to Have >$10.0 Billion in Sales This Year
調査会社IC Insightsによると、今年半導体の売上が100億ドルを超える企業は計17社。AMD、NXP、Analog Devicesの3社が半導体メガサプライヤーの仲間入りを果たす。
AMDは前年比65%増という驚異的な売上増で今年の売上は161億ドルを超える見通し。NXPは前年比28%増の107億ドルに到達。Analog Devicesは今年買収したMaximの売上も加算して前年比24%増の101億ドルとなる見通しだ。
半導体メガサプライヤー17社の内訳を見ると、米国企業が9社、欧州企業が3社、韓国および台湾企業が各2社、日本企業が1社となっている。
AMDに次いで成長率が高いのは台湾MediaTekで前年比60%増の175億ドル、NVIDIAも前年比57%増の230億ドルと大きく売上を伸ばしそうだ。
半導体メガサプライヤーの中で唯一マイナス成長となりそうなのは王者Intelで売上予測は前年比1%減の755億ドル。2021年の半導体ベンダ売上トップはSamsungで830億ドルと予測されている。(ファウンドリ売上含む)
IC Insights

Synopsysの論理合成/配置配線ツール「Fusion Compiler」のテープアウト実績が500を超える

2021.12.15
2021年12月2日、Synopsysは同社の論理合成/配置配線ツール「Fusion Compiler」の顧客利用実績について発表した。
プレスリリース
発表によると2019年の製品リリース以降、「Fusion Compiler」を用いたテープアウト件数は500件を超えた。Synopsysの説明では40nm?3nmの幅広いプロセスで、5Gモバイル, HPC, AI, ハイパースケール・データセンター,といった様々なセグメント向けのチップで成功を収めているという。
「Fusion Compiler」は、論理合成/配置配線(RTL-to-GDSII)を一括で処理することができる独立したインプリメンテーション・ツールで、単一のデータモデルを利用、サインオフ精度のタイミング、寄生抽出、電力解析エンジンも内蔵されている。Synopsysは「Fusion Compiler」を利用してRTL-to-GDSIIフローを最適化することで、設計結果品質を20%、開発スピードを2倍に向上できるとアピールしていたが、実際の顧客実績においては競合ソリューションと比較して、平均してチップ性能20%向上、消費電力15%削減、面積5%削減といった結果を達成しているとのこと。発表にはSamsungやキオクシアといった主要顧客がコメントを寄せているが、キオクシアは「Fusion Compiler」を用いた直近のテープアウトで消費電力40%、面積10%の削減に成功したという。
日本シノプシス合同会社

業界最速の金融向けAIアクセラレーターを手掛ける韓国の半導体ベンチャー「Rebellions」

2021.12.08
2021年12月6日、韓国経済新聞の記事:
Ex-quant developer on a mission to save traders microseconds
2020年9月に設立されたファブレス半導体ベンチャー「Rebellions」は、この11月に同社初の製品となるAIアクセラレーター「Ion」を発表した。
「Ion」はTSMC 7nmプロセスで製造されたチップで金融取引向けにカスタマイズされており、同分野で最速とされているIntelの「Habana Goya」よりも30%高速で消費電力が遥かに低いとのこと。金融取引におけるAI処理を効率的に実行し自動取引の応答時間を数マイクロ秒短縮できるという。
同チップを開発したRebellionsの創業者/CEOのPark Sung-hyun氏は、元モルガンスタンレーのクオンツ開発者で金融工学のエキスパート。CTOのOhJin-wook氏は元IBMワトソン研究所のAIチップ設計者、同社にはARM、Samsung、SK Hynixなどから技術者が集まってきているという。
Rebellionsの「Ion」はモルガン・スタンレーとJPモルガンに間もなく納入される予定で正式な販売は来年から。同社は既にデータセンター向けの次のAIチップ「Atom」の開発をSamsungと共同で進めており、早ければ2023年にSamsung 5nm EUVプロセスで量産を開始する計画となっている。
Rebellionsは、「Ion」リリース前に投資家から30億ウォン(約2,540万ドル)以上を調達。次の製品「Atom」にはAmazonも関心を示しRebellionsにコラボレーションを打診しているという話だ。
Rebellions

2021年10月の世界半導体市場は前年比24%増の487.9億ドル、7ヶ月連続で過去最高売上を更新中

2021.12.07
2021年12月3日、米SIA(Semiconductor Industry Association)は、2021年10月の世界半導体売上(3ヶ月移動平均)を発表した。
プレスリリース
SIAの報告によると2021年10月の世界半導体売上は、前年同月比24%増、前月比約1%増の487億9000万ドルでまた単月の売上記録を更新した。史上最高の勢いを示している今年の半導体市場は4月から7ヶ月連続で単月売上記録を更新中。単月売上が前年同時期を超えるのはこれで21ヶ月連続で、2021年の売上は過去最高を記録した2018年を上回ることになりそうだ。
同日発表されたWSTSの秋季半導体市場予測によると、2021年の世界半導体売上は前年比25.6%増の5,530億ドルに到達する見通し。この成長は2022年も緩やかに継続され、2022年の売上は6,015億ドルに達すると予測されている。
スクリーンショット 2021-12-07 10.14.49.png
スクリーンショット 2021-12-07 10.05.33.png
2021年10月の世界半導体売上を地域別にみると、全ての地域で前年比20%を超えるプラス成長を記録。成長率が最も高かったのは北米で前年比29.2%だった。
日本市場も前年比23.7%増と好調な売上を記録。売上を円ベースで換算すると前月比約3.7%増、前年比約33%増の約4,366億円となる。日本市場の売上が前年同月比プラス成長となるのはこれで12か月連続。
※SIA

Imagination TechnologiesがRISC-VベースのCPU製品ライン「Catapult」を発表

2021.12.07
2021年12月6日、Imagination Technologiesは、RISC-Vベースの新たなCPU製品ライン「Catapult」を発表した。
プレスリリース
「Catapult」はRISC-V ISAをベースにゼロから開発されたプロセッサで下記4つのファミリが用意されている。発表によるとMCUは既に出荷が開始されており、リアルタイム組み込みCPUも現在利用可能な状態。高性能アプリ向けCPUと機能安全(ISO 26262)対応の自動車向けCPUは2022年に出荷が開始される予定と言うことだ。
・dynamic microcontrollers
・real-time embedded CPUs
・high-performance application CPUs
・functionally safe automotive CPUs
Imagination Technologiesの説明によると「Catapult CPU」は、5Gモデム、ストレージ、ADAS /自動運転車、データセンター、および高性能コンピューティングにまたがる市場向けに設計されており、マルチスレッドで32ビットと64ビットの両バリエーションを用意。アプリケーションのニーズに応じて、顧客が構成できる多数のオプションがある。また最大8つの非対称コヒーレントコアにスケールアップ可能。クラスターごとにSoCの汎用性を高め、カスタム・アクセラレータを追加するオプションもある。
「Catapult CPU」には、GCC、LLVM、GDB、最適化されたCライブラリ、ImaginationのIDE「Catapult Studio」を含むフル機能のSDKが付属。「CatapultStudio」はVisualStudio Codeに基づいており、組み込みのRISC-V開発に焦点を当てた追加機能と、より幅広いSDKとの緊密な統合により、開発者は「CatapultCPU」を最大限に活用できる。
Imagination Technologiesは、今回新しい「Catapult CPU」を用意することでソリューションを強化。実績のある同社のGPUやAIアクセラレータを「Catapult CPU」と組み合わせることで、他のRISC-V IPベンダーには出来ない顧客の囲い込みが可能となる。
イマジネーションテクノロジーズ株式会社

CadenceがMicrosoft, TSMCと組んでクラウド上でのタイミング・サインオフを後押し

2021.12.03
2021年12月2日、Cadenceはクラウド上でのサインオフ検証に関するTSMCおよびMicrosoftとの協業とその成果を発表した。
プレスリリース
発表によるとCadence, TSMC, Microsoftの3社はクラウド環境の活用によるサインオフ検証の高速化に向けて協業。100億超トランジスタの大規模デザインの検証時間を大幅に短縮することに重点を置き取り組みを進めてきた。その結果、従来のスタティック・タイミング解析では数日かかっていた100億超トランジスタ級デザインのタイミング・サインオフを数時間で完了することが可能となった。それを実現するのはMicrosoftのクラウド環境「Azure」と、Cadenceの提供するクラウド環境上のEDAツールを管理するプラットフォーム「Cadence CloudBurst」、そしてCadenceのスタティック・タイミング解析ツール「Tempus」で、TSMCのプロセスを採用した顧客はこれら環境によるTAT短縮、計算機コストの削減といった恩恵に預かることができる。
Cadenceのスタティック・タイミング解析ツール「Tempus」には、分散スタティックタイミング解析 (DSTA) として知られる大規模分散型並列処理機能が備えられている。
膨大な計算機リソースを利用するEDAツールを用いたチップ設計は、ここ数年でクラウドへの以降が本格化しつつあり、ファウンドリ、EDAはベンダ、クラウドベンダが三つ巴となってソリューションの拡充に力を注いでいる。そんな状況もあり、どれだけ高速にEDAツールを走らせることができるか?が、サーバーチップのパフォーマンスの1つの指標になってきていたりする。
日本ケイデンス・デザイン・システムズ社

SiFiveが業界最速のRISC-Vコア「P650」を発表

2021.12.03
2021年12月2日、RISC-Vプロセッサを手掛けるSiFiveは、RISC-Vコアの新製品「Performance P650」を発表した。
プレスリリース
新製品「P650」は今年6月に発表された「P550」を改良したコアで命令幅の拡張によりクロックサイクルあたりのパフォーマンスを40%向上。追加のアーキテクチャ拡張により最大クロック周波数が向上し、「P550」と比較して50%増、Armの「Cortex-A77」を上回るパフォーマンスを実現する。
SiFiveによると「P650」はSPECInt2006のスコアで11/GHzを達成する見込みで、SIFiveは「P650」を業界最速のRISC-Vプロセッサと主張する。ちなみにこれまで業界最速をうたっていた「P550」のSPECInt2006のスコアは8.65/GHzだった。
なお「P650」はコヒーレント・マルチコア・コンプレックスを使用して最大16コアにスケーラブルに拡張可能。プラットフォームレベルのメモリ管理や割り込み制御ユニットなどのシステムコンポーネントを備え、仮想化のための新しいRISC-Vハイパーバイザー拡張機能をサポートする。
SiFiveは「P650」のアーキテクチャプレビューを2022年のQ1に主要な顧客に提供し、その後2022年夏頃に製品の一般提供を開始する予定。
SiFive