NEWS

SpringSoftが第3世代のデバッグ・ツール「Verdi3」を発表-GUI、FSDB、パーサーを刷新

2012.03.06
2012年3月5日、カスタムIC設計環境ならびにハードウェア検証・デバッグソリューションを手掛けるSpringSoftは、同社の旗艦製品「Verdi」の次世代版「Verdi3」を発表した。

プレスリリース文

今回発表された「Verdi3」は、同社の提供する業界デファクトのデバッグ・ツール「Dubbusy」、「Verdi」に続く第三世代製品で、既存の「Verdi」を改版したもの。大きな機能追加が実施されたというよりは、ユーザービリティが高められより使い易くなったというのが妥当な表現で、幾つかの変更が加えられた。

まずGUIがQTベースのGUIに刷新された。QTはC++ベースのGUIフレームワークで、Adobeなどの汎用ソフトでも採用されている事で有名。最近では各種EDAツールでもQTベースのGUIへの移行が進んでいる。既存の「Verdi」はMotifベースのGUIであったが、「Verdi3」では軽くてカスタマイズ性が高いQTベースのGUIに変更され、ツールの操作性が高められた。例えばドラッグ&ドロップで複数ウインドウを統合したり配置変更したりできる。
Verdi3-01.jpg
※画像はSpringSoft提供
次に「VIA(Verdi Interoperability Apps)」の容易なインテグレーションが可能となった。SpringSoftは、「Verdi」用のカスタム・アプリを開発するためのインフラとして、GUIおよびデータベース(KDB/FSDB)のAPIを公開し専用のWebサイト「VIA Exchange」を運営しているが、このインフラを用いて開発されたカスタム・アプリの統合やサードパーティー・ツールの接続が容易となった。「VIA Exchange」には既に600名以上の登録ユーザーが存在しており、複数企業から60種近いカスタム・アプリが提供されている。これらを利用することでユーザーは「Verdi3」を独自にカスタマイズすることが可能で、当然オリジナルのカスタム・アプリも開発できる。先日発表された「Verdi」とSynopsys社「Protocol Analyzer」の連携にもこの「VIA」が利用されているようだ。

Verdi3-02.jpg

※画像はSpringSoft提供

そしてもう一つ。機能面の強化としてVerilogパーサーとシグナル・データベース「FSDB」も刷新された。Verilogパーサーは自社開発の最新版に置き換えられ、コンパイル時間が最大で約30%短縮され、使用メモリも最大約75%削減可能となった。また、SystemVerilogがフルサポートされた。「FSDB」については改良された最新の「FSDB 5.0」が用意されファイルサイズを従来比70%程度に小型化できるようになったほか、データの読み出しとダンピングがマルチスレッドで実行できるようになり、読み出し速度が約2倍(4コア利用)、ダンピングに要する時間は半分程度(約45%削減)となった。

Verdi3-03.jpg

※画像はSpringSoft提供

尚、「Verdi3」は現在β版がリリースされており、正式版は2012年4月にリリースされる予定。SpringSoftは顧客満足度向上の方針を長年貫いており、今回の機能向上についてもユーザーニーズへの対応の一貫として、既存の「Verdi」ユーザーには無償で「Verdi3」を提供するという。ちなみに同社の顧客数は世界で700社近くあり、その多くは「Verdi」ユーザーと推定される。

スプリングソフト株式会社

12年1月世界半導体売上は前年比8.8%減、前月比2.7%減の231億8000万ドル

2012.03.06
2012年3月5日、米SIA(Semiconductor Industry Association)は、2012年1月の世界半導体売上高を発表した。

プレスリリース文

SIAの報告によると、2012年1月の世界半導体売上高は前年同時期比約8.8%減、前月比約2.7%減の231億8000万ドルという統計結果となった。これで売上前年割れは2011年6月から8ヶ月連続。2012年初頭から売上が回復するとした予測が半導体大手各社より報じられていたが、1月時点では業界全体として本格的な回復には至っていない事を数字が示した。

売上を前月比で見ると日本市場が4.2%減と最も売上を落とした。前年比では欧州が16.2%減と大きく売上を落としており、欧州経済不況の影響を如実に表した。3ヶ月ごとの売上推移を見ると、11-1月の3ヶ月の売上は8-10月の売上に対して北米市場が7.6%減、欧州市場10.3%減、日本市場11.4%減、アジア市場10.7%減と軒並み10%前後の落ち込みを示している。

SIA2012-01.jpg
SIA2012-01-2.jpg
SIA

SamsungとMentorが20nmプロセス向けDFMリファレンス・ソリューションを完成

2012.03.02
2012年3月1日、Mentor Graphicsは、Samung Electronicsと共同でSamsung 20nmプロセス向けのDFMサインオフ・リファレンス・ソリューションを完成させたと発表した。

プレスリリース文

Samsungはこれまでも32/28nmプロセス向けに、Mentorの「Calibre」をベースとしたDFMサインオフ・リファレンス・ソリューションとして「Calibre」のキットを顧客に提供していたが、今回最先端の20nmプロセス向けのキットを完成させその評価を終えた。

Samsungの20nmプロセスに対応するDFMサインオフ・リファレンス・ソリューションには、「Calibre LFD」、「Calibre nmDRC」、「Calibre YieldAnalyze」が含まれているという。

メンター・グラフィックス・ジャパン株式会社


Synopsysが6種のチップ間接続プロトコル仕様に対応する業界初の28nmマルチギアMIPI M-PHY IPを開発

2012.03.02
2012年2月29日、Synopsysは、新しい「DesignWare MIPI M-PHY IP」ソリューションを発表した。

プレスリリース文

今回Synopsysが発表した「DesignWare MIPI M-PHY IP」は、既存の「DesignWare MIPI M-PHY IP」をベースに開発した製品でMIPI Alliance M-PHY v1.0仕様に準拠。下記6種のチップ間接続プロトコル仕様をサポートしているほか、複数の伝送スピード・ギアを備えている。

・JEDEC Universal Flash Storage(UFS)
・USB SuperSpeed Inter-Chip(SSIC)
・MIPI LLI(Low Latency Interface)
・MIPI DigRF v4(data interface between the RFIC and the BBIC)、
・MIPI CSI-3(camera serial interface) ※今後仕様が確定
・MIPI DSI-2(display serial interface) ※今後仕様が確定

同IPによりモバイル向けICの相互接続手段としてMIPIインタフェースを利用し易くなり、アプリケーションに応じて異なるプロトコル間のインターフェイスを複数の伝送スピードを実現可能となる。

尚、今回のSynopsysの発表と合わせて仏ArterisがMIPI LLIのコントローラIPのリリースを発表しており、同IPとSynopsysの「DesignWare MIPI M-PHY IP」を組み合わせて利用する事で、モバイル端末におけるアプリケーション・プロセッサとモデムのメモリ共有が可能となり、コスト削減や実装面積の削減などのメリットを得られるという。

Arterisプレスリリース文

SNPS_Arteris.jpg

※画像はArteris社Web掲載の画像

Synopsysのマルチギア対応28nm「DesignWare MIPI M-PHY IP」は、特定顧客向けに2012年Q2から提供を開始する予定。Arterisの「MIPI LLIコントローラIP」は既に出荷が開始されている。

日本シノプシス合同会社

Arteris

3次元電磁解析ツールの米NIMBICが日本展開を開始-ステイシフト社と代理店契約

2012.03.02
2012年3月1日、CAEツール販売を手掛ける国内ベンチャーのステイシフト社は、米NIMBIC社との総代理店契約の締結を発表した。

プレスリリース文

NIMBICは、PCBボードおよびICパッケージ向けの3次元電磁解析シミュレーター「nWave」、3次元寄生抽出ツール「nAPEX」を提供するEDAベンダで、以前はPhysware社としてビジネスを行なっていたが、昨年社名を一新し新たなクラウド型ソリューションの提供を開始した。

同社のソリューションの中心は、高速処理を売りとした3次元電磁界シミュレーター「nWave」にあるが、昨年開始した「nCloud」と呼ぶクラウド環境上でツールを利用できるサービスが話題を集めている。同サービスを利用すればツールのライセンスを購入することなく、使用量に応じたツール利用料を支払うだけでネット上でオンデマンドで「nWave」や「nAPEX」を利用する事がで可能で、同社の発表によると既に先行ユーザーとして、TI、Toshiba、Panasonic、Renesas、Vitesse、Tabulaが同サービスを利用しているという。

nWave.jpg
※画像は「nWave」のGUI画面

nCloud.jpg
※画像は「nCloud」のGUI画面

今回NIMBICと独占代理店契約を締結したステイシフト社は、2010年設立のベンチャーで本拠をかながわサイエンスパークに置く。技術陣は、チップ?パッケージ?ボードシステムのシグナルインテグリティ、パワーインテグリティ、電磁干渉解析で10年以上の経験を持つよいう事で、今回の代理店契約においては日本国内の製品の販売ならびに技術サポート業務を包括的に請け負うという。

Nimbic

ステイシフト株式会社

デバッグ・ソリューションのスウェーデンVerifyter社に元HARDI創設者が出資

2012.03.01
2012年2月29日、HW/SWデバッグ・ソリューションを手掛けるスウェーデンの新興EDAベンダVerifyterは、同社に新たな役員が加入することを発表した。

プレスリリース文

Verifyterは、2010年に設立されたEDAスタートアップで、リグレッション・テストの自動化ツール「PinDown」を提供している。「PinDown」は、リグレッション・テストの結果からバグの有無を診断する人手作業の自動化を狙うもので、ハードウェア開発に限らずソフトウェア開発にも利用可能。同社によると既にSynopsysが「PinDown」を3年契約で導入しているという。
PinDownFlow-650x206.jpg
※画像はVerifyter社Web上の画像「PinDown」のイメージ図
今回Verifyterに対して元HARDI創設者のLars-Eric Lundgren氏が出資し、同社のチェアマンに就任することになった。出資額などの詳細は明らかにされていないが、Lars-Eric Lundgren氏は「PinDown」のポテンシャルを高く評価しているとの事。同氏によって投資される資金は主に製品開発チームの拡大と北米市場での製品展開に充てられるという。

尚、プロトタイピング・システム「HAPS」を手掛けていたHARDI社もVerifyter同様スウェーデンの会社で、同社はその後Synplicityに買収されSynopsysの一部門となった。Synopsysが既に「PinDown」のユーザーであるのは、Lars-Eric Lundgren氏の手引きがあったと見て間違いないだろう。

Verifyter

China ACE Awards 2012 EDA部門はCadenceの「Virtuoso AMS Designer」が受賞

2012.02.29
2012年2月24日、中国のメディアGlobal Sourcesは、同社とEETimesグループが主催する「China ACE Awards 2012」の受賞者を発表した。

プレスリリース文

「China ACE Awards 2012」は、中国のエレクトロニクス業界で評価の高い企業、製品、技術を表彰するもので、米EETimes誌の「ACE Awards」の中国版という位置付け。一般ユーザーによるWeb投票で受賞者を決定する部門と記者によって受賞者が決定される部門がある。
※EETimes誌のACE Awardsは今年からEDNのInnovation Awardsと統合され、「UBM Electronics ACE Awards」となった。

今回、ユーザーのWeb投票で「China ACE Awards 2012」EDAツール部門を受賞したのは、Cadenceのミックスド・シグナル設計環境「Virtuoso AMS Designer」だった。同部門のファイナリストには、Synopsysのバーチャル・プラットフォーム開発環境「Virtualizer」、Mentor Graphicsの歩留まり解析ツール「Tessent YieldInsight」の他にTexas InstrumentsやNational Instrumentsのツールも選出されていた。

以下、主要部門の受賞者一覧。残念ながら全ての部門において日本企業、日本製品の受賞は無かった。

・Executive of the Year -- Howard Cheng, Vice President, Asia Sales of Analog Devices

・Design Team of the Year -- China Automotive Technical Center, design team of NXP Semiconductors

・Innovator of the Year -- Mo Lianghua of FocalTech

・Company of the Year -- Texas Instruments

・Most Promising New Technology of the Year -- 
-ARM (Cortex(TM)-A7 MPCore(TM) with big LITTLE processing Processor)
-Intel (3-D Tri-gate Transistor Technology) 
-STMicroelectronics (FingerTip Projected Capacitive Touch Screen Sensor Technology)

China ACE Awards 2012

Xilinxが「Zynq-7000 EPP」によるLinuxOSベースの非対称型マルチ・プロセッシング・ソリューションを発表

2012.02.29
2012年2月29日、Xilinxは、ドイツで開催中のEmbedded World 2012にて、「Zynq-7000 EPP」によるLinuxOSベースの非対称型マルチ・プロセッシング・ソリューションを発表した。

プレスリリース文

今回発表されたソリューションは、XilinxのパートナーであるPetaLogix社と共同開発したもので、「Zynq-7000 EPP」に搭載される2つの「ARM Cortex-A9 プロセッサ」にLinuxとRTOSという異なるOSをそれぞれ実装し、両OSの最適な部分を活用することでリアルタイム性能が必要なアプリケーションのニーズに対応するというもの。両プロセッサ間の通信には「RPMsg プロセッサ間通信 (IPC)」を用いる。

このソリューションを用いることで、複数のイベント処理に伴いタイミング要件の異なる複数の応答が発生する自動車用ドライバー・アシスタンス・システムや、モーター制御と安全性監視機能を統合する産業機器制御システムなどのアプリケーション要件に応える事ができるという。

Xilinxは今回発表した新ソリューションの技術資料やリファレンス・デザインを開催中の「Embedded World 2012」にて展示しているという。

尚、Xilinxは「Zynq-7000 EPP」向けのソフトウェア開発環境として、EDAベンダCadenceと共同でバーチャル・プラットフォームを開発すると発表していたが、2月27日、Cadenceは同バーチャル・プラットフォームの製品リリースを発表した。同環境は既にXilinx Certified Alliance Program Memberによって利用されており、今回一部のXilinx先行顧客への提供が開始されたという。
Cadenceのプレスリリース文


ザイリンクス株式会社

日本ケイデンス・デザイン・システムズ社

Agnisysが「IDesignSpec」の無償版を配布、レジスタ仕様からUVMベースのレジスタ・モデルを自動生成

2012.02.29
2012年2月28日、機能検証にフォーカスしたEDAソリューションを手掛ける米Agnisysは、同社製品「IDesignSpec」の無償版の配布を発表した。

プレスリリース文

Agnisysの「IDesignSpec」は、Word、Excel、OpenOfficeで記述されたレジスタ仕様から以下のような様々な形式のコードやドキュメントを自動生成するツールで、ハードウェア機能検証での利用を一つのターゲットにしている。

・合成可能なバス・プロトコルのHDLコード(AMBA-AHB, AVALON, and Proprietary)
・OVM, VMM, UVM, eRM (vr_ad)向けのテストベンチ・ファイル
・ファームウェア、デバイス・ドライバ向けのCヘッダおよびC++クラス・ファイル
・HTMLおよびPDFドキュメントファイル
・SystemCおよびSystemVerilogベースの検証コード (UVM,OVM,VMM)
・IP-XACTおよびSystemRDL(レジスタ仕様の記述ファイル)
・TclまたはXSLTスクリプトによるユーザ独自形式の出力も可能

今回発表された「IDesignSpec」の無償版は、現在サンノゼで開催中のDVConで発表したと思われる同ツールのUVMサポートに合わせて提供されるもので、有償版に対して幾つかの機能制限が設けられているが、MicrosoftのWordで記述されたレジスタ仕様からUVMベースのレジスタ・モデルを自動生成することが可能。AgnisysのWebサイト上でダウンロードの申請を受け付けている。(Web上でダウンロードは完結せず、先方からの連絡を待つ形)

Agnisys

AMIQが新製品「Verissimo SystemVerilog Testbench Linter」をリリース

2012.02.29
2012年2月28日、e/SystemVerilogのフロントエンド環境を手掛けるルーマニアのEDAベンダAMIQは、新製品「Verissimo SystemVerilog Testbench Linter」のリリースを発表した。

プレスリリース文

発表によると「Verissimo SystemVerilog Testbench Linter」は、製品名通りSystemVerilogで記述されたテストベンチのLintチェックを行う検証エンジニア向けのツールで、作成したテストベンチに対してSystemVerilogのセマンティックス・チェックや検証メソドロジUVMに対するコンプライアンス・チェックを行うことが可能。ユーザー独自のコーディング・ガイドラインに対するチェックもできる。

また、「Verissimo SystemVerilog Testbench Linter」は、AMIQの提供するSystemVerilogのフロントエンド環境「DVT」にインテグレーションする事が可能で、「DVT」のGUI上でLintチェックの実行結果を確認する事が可能なほか、エラーやワーニングに対するハイパーリンク機能も提供される。

AMIQは新製品「Verissimo SystemVerilog Testbench Linter」をサンノゼで開催中のDVCon 2012で紹介している。

AMIQ
株式会社シンコム(AMIQ製品日本代理店)

SSDメーカーのBiTMICROが次世代SSDコントローラーの設計および検証でSynopsysのツールを採用

2012.02.29
2012年2月28日、Synopsysは、SSDメーカー米BiTMICROによる同社ツールの採用事例を発表した。

プレスリリース文

発表によるとBiTMICROは、自社の次世代SSD製品に搭載する第三世代目となるSSDコントローラー2種をSynopsysのインプリメンテーション・プラットフォーム「Galaxy」および検証プラットフォーム「Discovery」を用いてテープアウトした。利用した設計/検証環境には、論理合成ツール「Design Compiler」、タイミング解析ツール「PrimeTime」、論理シミュレーター「VCS」、回路シミュレーター「HSPICE」が含まれているという。

BiTMICRO

日本シノプシス合同会社

SpringSoftのデバッグ環境「Verdi」とSynopsysの検証IP用デバッグ環境「Protocol Analyzer」が連携

2012.02.29
2012年2月27日、SynopsysとSpringSoftは両社のデバッグ・ソリューションの連携を発表した。

プレスリリース文

発表によると今回SynopsysとSpringSoftの両社は、両社のデバッグ環境「Verdi」と「Protocol Analyzer」を連携させた。「Verdi」は言わずと知れたSpringSoftが提供する業界デファクトのデバッグ・ツールで、「Protocol Analyzer」はSynopsysの提供する発表されたばかりのデバッグ・ツール。「Protocol Analyzer」はSynopsysの提供する検証IP「Discovery VIP」を用いて各種プロトコル検証を行う際に利用するツールで、プロトコル・トラフィックをトランザクション・ベースでデバッグする事が可能。

今回「Protocol Analyzer」と「Verdi」が連携することで、「Protocol Analyzer」で検出したプロトコル仕様違反やエラーを「Verdi」環境に渡し、「Verdi」側で詳細な信号レベルの解析ができるようになった。これにより設計者は「Protocol Analyzer」でプロトコル動作の問題箇所を素早く特定し、その詳細な信号レベルのデバッグを「Verdi」で行うという効率的なデバッグが可能となる。今回の両ツールの連携は「Verdi」とのツール連携を実現するためにSpringSoftが提供している仕組み「VIA(Verdi Interoperable Apps)」によって実現されているという。

日本シノプシス合同会社

スプリングソフト株式会社


Calyptoが高位合成ツール「Catapult」向けに AXIインターフェイス・ライブラリを発表

2012.02.29
2012年2月27日、シーケンシャル・アナリシス技術でEDA製品を展開する米Calypto Design Systems社は、同社の高位合成ツール「Catapult C Synthesis」向けの「AXIインターフェイス・ライブラリ」を発表した。

プレスリリース文

今回発表された「AXIインターフェイス・ライブラリ」は、「Catapult C Synthesis」で合成するハードウェアをARM社の標準バス「AMBA AXI バス」に接続するためのバス・インターフェイス・ライブラリで、マスターおよびスレーブのインターフェイス・ライブラリが用意されている。

Calyptoによると、これらライブラリは全てSystemCで記述されており、バースト・モード、バス幅、様々な制御信号など幅広いコンフィグレーションが可能。「Catapult C Synthesis」によるRTL合成で利用できるほか、TLM2.0環境でのシミュレーションにも利用できるという。

Calyptoは、今回発表した「AXIインターフェイス・ライブラリ」を同社で開発するライブラリ・シリーズの最初の製品としており、今後も他のライブラリを開発・リリースしていくことを示唆している。

カリプト・デザイン・システムズ株式会社

EDA Top3 売上推移(2009年7月-2012年1月)

2012.02.29
EDA上位3社Cadence,Mentor Graphics,Synopsysの売上推移。各社売上報告の数字をグラフ化しました。

EDATOP3_2012-02.jpg

※Cadenceは、会計上の四半期を1-3月,4-6月,7-9月,10-11月と設定しているが、グラフ上は、MentorおよびSynopsysの四半期設定である2-4月,5-7月,8-10月,11-1月に合わせている。

この1年間の各社の成長率は以下の通り。

EDATOP3_2012-02b.jpg

Mentor売上報告、11年11月-12年1月は前年比4.2%増の3億2035万ドルで過去最高

2012.02.29
2012年2月28日、Mentor Graphicsは、2012会計年度第4四半期(2011年11月-12年1月)の売上を報告した。

プレスリリース文

発表によると、Mentorの2012会計年度Q4(2011年11月-12年1月)の売上は、前年比約4.2%増の約3億2035万ドルで、前年比約13%増の5782万ドルの純利益を計上した。この結果は全四半期の売上報告時点の予測を上回るもので四半期売上記録としては過去最高。これでMentorは7四半期連続で売上前年比増を達成した。(※GAAP基準による会計結果)

最終的にMentorの2012会計年度(2011年2月-2012年1月)の売上合計は、前年比10.9%増の10億1463万ドルで過去最高。初めて10億ドルの大台を突破した。純利益の合計は前年の約3倍にあたる8387万ドルだった。(※GAAP基準による会計結果)

Mentorは2013会計年度の売上を11億ドル、次期2013会計年度Q1(12年2-4月)の売上を2億5500万ドルと予測している。

MENT2012Q4.jpg

Mentor株価推移
メンター・グラフィックス・ジャパン株式会社

Synopsysが検証IPのアーキテクチャーを刷新、速度向上ほか大幅機能強化

2012.02.28
2012年2月27、Synopsysは、アーキテクチャーを刷新した同社の新しい検証IP「Discovery VIP」を発表した。

プレスリリース文
マルチメディア・ニュース・リリース(ビデオ)

発表によると新しい「Discovery VIP」は、これまで「OpenVera」をベースとしていた検証IPのアーキテクチャーを刷新し、新しいVIPERアーキテクチャーをベースに開発されたもので、100% SystemVerilogでコーディングされており、既存のSystemVerilogベースの検証メソドロジUVM、VMM、OVMの全てで利用することが可能。新たなVIPERアーキテクチャーによって、既存の検証IPには無かった様々なメリットを提供する。

snpsvip-05.jpg
※画像はSynopsys社Web掲載上のデータ

まず、検証IPのアーキテクチャーが変更され、100% SystemVerilogでコーディングしたことにより、検証IPを利用する上で従来使用していたラッパーやデータ変換などが不要となった。これにより検証をより高速化可能で、Synopsysによると最大で従来比4倍相当のパフォーマンスを実現する。CadenceやMentorの検証IPもSynopsysがこれまでVeraをベースとしていたようにeやCをベースとしているため、検証速度に関してはSynopsysの「Discovery VIP」より劣る事になる。尚、「Discovery VIP」は、Synopsysの「VSC」以外の主要な市販シミュレーターでも利用可能で同様の高速化を実現可能だが、最適化により最もパフォーマンスが高いのは「VCS」のようだ。

snpsvip-01.jpg
snpsvip-02.jpg
※画像はSynopsys社提供のデータ

また、今回新たに「Discovery VIP」用のデバッグ環境「Protocol Analyzer」が用意された。同ツールは検証IPとは独立した形で別売される検証IP専用のデバッグ環境で、GUIを用いてプロトコル・トラフィックをトランザクション・ベースでデバッグする機能を提供する。これまでは信号レベルのデバッグしか出来なかったが、VIPERアーキテクチャーにより抽象度の高いプロトコルのデバッグが可能となった。「Protocol Analyzer」はシミュレーター「VCS」に接続して使用するものだが、ライセンスを購入すれば複数の環境でコピーして利用できるという。

snpsvip-03.jpg
※画像はSynopsys社提供のデータ

更に今回「Discovery VIP」の自動コンフィギュレーションを行うGUIも用意された。これまでコンフィギュレーションはソースコードレベルで人手の作業で行われていたが、GUIベースの自動設定が可能となった。また、コンフィギュレーションに応じたテスト・プランの自動生成やカバレッジの作成も可能となった。

Synopsysは既に新アーキテクチャーの「Discovery VIP」の最新製品として、USB 3.0、ARM AMBA AXI3、AXI4、ACE、HDMI、MIPI、Ethernet 40G/100G、PCI Express、SATA、OCP、などの検証IPを提供しており、今後も順次既存の検証IPをVIPERアーキテクチャーに置き換えていく計画。既に7割方の製品は改版が完了しているようだが、全ての検証IPが100% SystemVerilog化されるには、あと半年ほどかかる予定だという。

snpsvip-04.jpg

日本シノプシス合同会社

英DoulosのCTO John Aynsley氏がAccellera Systems Initiativeのアワードを受賞

2012.02.27
2012年2月24日、ハードウェア設計関連の技術および言語規格の標準化団体Accellera Systems Initiativeは、同団体が新設したアワード「Accellera Systems Initiative Technical Excellence Award」の受賞者を発表した。

プレスリリース文

発表によると、「Accellera Systems Initiative Technical Excellence Award」は同団体の標準化活動における優れた業績を称えるために創設されたアワードで、初の受賞者として IEEE 1666 SystemCの標準化ワーキング・グループのメンバーであるDoulos社のCTO John Aynsley氏が選ばれた。

John Aynsley氏は、IEEE1666-2005ならびにIEEE1666-2011のLRMの策定にて貢献。Accellera Systems Initiativeが創設される前身のOSCI時代にはTLM2.0のLRMを執筆、IEEE1666-2011の策定にあたってはSystemCシミュレーターのリグレッション・テスト・スイートを実装した。同氏は1991年から設計トレーニング及びコンサルティングを手掛けるイギリスのDoulos社のCTOを務めており、この20年間で1000日に及ぶトレーニングを実施した実績を持つ。

John Aynsley氏は、2月27日からサンノゼで開催されるハードウェア設計および検証関連のカンファレンス「DVCon」にて、アワードの受賞者として表彰される予定。

DVCon

Accellera Systems Initiative

Doulos

ARMがGLOBALFOUNDRIES 28nmプロセス向けCortex-A9 MPCore POPをリリース

2012.02.27
2012年2月23日、ARMは、GLOBALFOUNDRIESの28nmプロセス向け「Cortex-A9 MPCore POP(Processor Optimization Pack)のリリースを発表した。

プレスリリース文

発表によると今回ARMがリリースした「Cortex-A9 MPCore POP」は、GLOBALFOUNDRIESの28nm SLP High-Kメタルゲート・プロセスに向けられたもので、28nmプロセス向けのPOPとしては同社初の製品となるもの。

この「Cortex-A9 MPCore POP」を利用すれば、顧客はGLOBALFOUNDRIES 28nm向けの最適な実装を実現可能。ARMはワーストケースで1GHzから1.6GHz、一般的には最大2GHzの性能を実現するとしている。「Cortex-A9」のPOPは、既にTSMCやサムスンなど各社ファウンドリ向けに40-32nmプロセス向け製品が提供されてるが、いずれの製品にも対象プロセス向けに最適化されたフィジカルIPと、リファレンス・フロー、ベンチマークが含まれている。

アーム株式会社

MentorがUVMの利用促進に向けて独自ソリューション「UVM Express」と「UVM Connect」をリリース

2012.02.27
2012年2月23日、Mentor Graphicsは、検証メソドロジUVMの利用促進に向けた独自のソリューション「UVM Express」と「UVM Connect」のリリースを発表した。
※UVM:Universal Verification Methodology

プレスリリース文

発表によると「UVM Express」および「UVM Connect」は、Mentorの運営するWebサイト「Verification Academy」で無償配布されるもので、Mentor製品のユーザーに関わらず誰もが利用可能。「UVM Express」は、UVMの導入を段階的に支援するための言わば「導入支援パッケージ」で、UVMを実用する上で重要な下記4つの手法について指南書となるドキュメントと各種サンプルを提供。ユーザーはそれらを利用する事でオブジェクト指向プログラミングに関する知識が無くとも、UVMメソドロジを容易に導入できる。

・テストベンチ環境を構築する手法
・抽象度レベルを引き上げる手法
・テスト品質を検証する手法
・テストの策定手法

「UVM Connect」は、SystemCで書かれたモデルとSystemVerilogで書かれたモデルを接続するためのUVM準拠のオープンソース・ライブラリで、提供される「UVM Command API」によってSystemCモデルとSystemVerilogモデルの間をTLM 1.0およびTLM 2.0インタフェースで接続する事が可能。ライブラリと合わせてサンプルやユーザーガイドも提供される。

これら「UVM Express」および「UVM Connect」として提供されるソリューションは、これまでも「Verification Academy」やユーザー・サポートを通じてMentorから個別に提供されていたものだが、今回誰もが汎用的に利用できるものとしてパッケージ化された。

メンター・グラフィックス・ジャパン株式会社

Synopsys売上報告、11年11月-12年1月は前年比約16.7%増の4億2550万ドル

2012.02.23
2012年2月22日、Synopsysは、2012会計年度第1四半期(11年11月-12年1月)の売上を報告した。

プレスリリース文

発表によると、Synopsysの2012会計年度Q1(11年11月-12年1月)の売上は、前年同時期比約16.7%増、前期11年Q4比約9%増の4億2550万ドル。この実績は前四半期売上報告時の予測を上回るもので四半期売上としては同社過去最高、これによりSynopsysは6四半期連続で売上前年増を達成した。収支は前年同時期比約16.7%増の5669万ドルの純利益を計上した。(※GAAP基準による会計結果)
尚、今期2012年Q1売上に本日買収が完了したMagmaの売上は含まれていない。

Synopsysは、次期2012会計年度Q2(12年2-4月)の売上を4億1200-2000万ドルと予測。2012会計年度通期の売上予測は、Q1の好結果を受けて早速上方修正し16億5500-7500万ドルとした。

SNPS-2012Q1.jpg

Synopsys株価推移

日本シノプシス合同会社

Axiom Design AutomationがUVMデバッグ環境「DesignerUVM」をリリース

2012.02.23
2012年2月21日、マルチCPU対応の論理シミュレーターを手掛けるAxiom Design Automationは、UVMデバッグ環境「DesignerUVM」のリリースを発表した。

プレスリリース文

発表によると「DesignerUVM」は、Axiomの論理シミュレーター「MPSim」上で利用するUVMテストベンチのデバッグ環境で、同社既存のデバッグ環境「Designer」をベースに開発されたもの。同環境を利用すればUVMテストベンチの回路図の可視化、ポートの接続や接続性チェック、ポートのパス(ドライバ-レシーバ間)のトレース、仮想I/Fから実I/Fへの信号トレースなどが可能。またトランザクションのダンピングや可視化、波形表示などを完全に自動的できる。

新製品「DesignerUVM」は、2月27日からサンノゼで開催される設計および検証関連のカンファレンス「DVCon」にて披露される予定。

Axiom Design Automation

Synopsys、Magmaの買収を完了

2012.02.23
2012年2月22日、SynopsysはMagma Design Automationの買収完了を発表した。

プレスリリース文

発表によると今回の買収総額は約5億2300万ドルでEDA業界におけるM&Aで過去最高。SynopsysはMagmaの株を1株あたり$7.35で買い取る。

買収による業務の移行を円滑に進めるため、Magmaの社長兼COOのRoy Jewell氏はSynopsysに移籍。Magmaの創設者であるCEO Rajeev Madhavan氏はSynopsysには移らず、社外から業務の移管をアドバイスするようだ。

SynopsysによるMagmaの買収は昨年11月30日に発表され、その時点では2012年Q2には買収が完了する見通しとしていたが、予定を前倒しする形で買収が完了した。

日本シノプシス合同会社

Cadenceが40/100ギガビット・イーサネットMAC/PCS IP製品をリリース

2012.02.22
2012年2月21日、Cadenceは、40/100ギガビット・イーサネットMAC(メディア・アクセス・コントローラ) およびPCS(フィジカル・コーディング・サブレイヤー)IPコアの提供開始を発表した。

プレスリリース文

発表された40/100ギガビット・イーサネットMACおよびPCS IPコアは、IEEE 802.3ba-2010 イーサネット仕様をサポートする設計IPで既に製品を出荷中。Cadenceは同製品には以下の特徴があると説明している。

 ・待機時の消費電力を削減するEnergy Efficient イーサネットを含む関連仕様の最新バージョンをサポート
 ・アプリケーションをベースとしたトラフィック管理のカスタマイゼーションのためのイーサネットアドレス・マッチ・ロジック
 ・フレームおよびプライオリティ・ベースのフロー・コントロール・メカニズム等のコンフィギュラブルな機能
 ・プログラマブルなフレーム間ギャップにより、精密なパケット・フローの管理が可能(機器のオーバーロードを回避可能)
 ・送受信される各フレーム単位のエラー/ステータス・ワードや遠隔監視 、情報管理ベースサポートを含む包括的な監視機能
 ・隣接するPHYレイヤー・デバイスとの接続用のギガビット・メディア・インディペンデント・インターフェースをサポート(MAC IP)
 ・4ないし10組の10ギガビット SerDesとのインテグレーションをサポート(PCS IP)

尚、Cadenceでは、すでに50件以上のギガビット・イーサネット設計のテープアウト実績があり、設計IPの提供と合わせて検証IP、エミュレーション、バーチャル・プロトタイピングなどを用いたイーサネット向けソリューションを提供しているという。

日本ケイデンス・デザイン・システムズ社

仏Magillemと米GreenHillsがソフトウェアのデバッグでインテグレーション

2012.02.22
2012年2月21日、IP-XACTベースのESLソリューションを手掛ける仏Magillemと組込みソフト開発環境の大手米GreenHillsは、両社ソリューションのインテグレーションを発表した。

プレスリリース文

発表によると両社は組込みソフトウェアのデバッグ向けのソリューションとして、GreenHillsのIDE「MULTI」とMagillemのIP-XACTベースのツールをインテグレーション。具体的な製品名は挙げられていないが、MagillemはハードウェアIPのメタデータ記述仕様IP-XACT(IEEE 1685)にフォーカスした各種ツール群を提供しており、今回のインテグレーションでは、GreenHillsの「MULTI」でソフトウェアのデバッグを行う際に、Magillem環境からハードウェアのレジスタやビット・フィールドなどの情報を直接参照できるようになるようだ。

Magillemは、IP-XACTモデルを作成するツールやIP-XACTモデルから各種モデルを生成するツール、IP-XACTモデルを用いたシステム設計ツール、レジスタ管理ツールなど、様々なIP-XACT関連ツールを提供している。

magillem.gif

※画像はMagillem環境のイメージ図

Magillem

GreenHills

東大竹内研、SSDの寿命を10倍以上向上させるエラー訂正技術を開発 2/22 ISSCCで論文発表

2012.02.21
2012年2月20日、JST(科学技術振興寄稿)、東京大学、慶応大学が共同でSSDメモリに関する新技術の開発を発表した。

プレスリリース文

今回発表された新技術は以下の大きく3つで、東京大学竹内健准教授をリーダーにJSTの研究開発事業として開発された。これら3つの新技術はいずれも半導体分野の国際学会ISSCC 2012で採択され、現在サンフランシスコで開催中の同学会で発表される。

・SSD信頼性向上技術 東京大学 竹内健 准教授
・DRAMモジュールとCPUの無線通信技術 慶應義塾大学 黒田忠宏 教授
・メモリカード向け無線給電技術 慶應義塾大学 石黒仁揮 教授 

今回東大竹内研究室が開発したSSD信頼性向上技術は、「EP-LDPC」と呼ぶNANDコントローラーにおけるエラー訂正アルゴリズムで、竹内氏曰く、昨年同氏がISSCC 2011で発表した信頼性向上技術の更に上を行くもの。

SSDはPC、スマートフォン、タブレットから今後はサーバーでの利用が期待されているが、微細化により益々信頼性が損なわれているのが現状で、信頼性を高める手段としてコントローラの技術に期待が集まっている。コントローラが優れていれば、フラッシュでも安価な多ビット品を利用できるようになるため、コスト面でもコントローラ技術の担う役割は大きく、Appleは優れたコントローラ技術を手に入れるためにイスラエルのAnobit社を買収したと言われている。

NANDコントローラーの代表的な技術として、フラッシュの書き換え回数を平滑化するウェアレベリング、複数のメモリを同時に動かすインターリービング、ECC(エラー訂正)があるが、現在世界中でコントローラベンダが取り組んでいるのはLDPC(Low-density-parity-check)を用いたECCで、今回竹内研究室が開発した「EP-LDPC」技術も同分野に分類される。

LDPCは、エラーの判定を1かゼロではなく確率の概念を持ち込み軟判定しており、従来手法であるBCHよりも信頼性は高いが、多数の読み出しが必要で非常に遅い(BCHの約7倍)という難点がある。そこで竹内研究室では、LDPCの信頼性をBCHの速度で実現する新たなアルゴリズム「Error-Prediction-LDPC(EP-LDPC)」を考案した。

ISSCC2012-01.jpg
※画像は論文資料より抜粋:上の赤枠がEP-LDPC、下の赤枠はエラー復旧技術

「EP-LDPC」の考え方は、一回の読み込みで電子の抜けによるエラー箇所(しきい値低下)を予測するというもので、予測するという発想そのものがこれまでに無かったもの。
具体的には、1.周りのしきい値、2.書き換え回数、3.データ保持時間の3つの情報からエラー箇所を予測。これら情報はテーブル化し予めフラッシュに書きこんでおき、エラー予測時の計算に使用する。データ保持時間については不揮発性というフラッシュの特性上分からないため、書き換え回数、ビット・エラー・レートとの相関関係に着目し、これら情報から予測する手法を思いついた。

実際に「EP-LDPC」技術を用いてエラー訂正を行ったところ、BCHに対し信頼性を最大11倍向上させることに成功。このケース、違う見方をすると「EP-LDPC」のエラー訂正能力はBCHの3.7倍で、書き換え回数を1.6倍増やせる。すなわち1.6倍SSDを長持ちさせることが可能という事。竹内氏は「コストを60%減らせるのであれば、コントローラが多少高くなっても費用対効果は十分。サーバー等でSSDを利用する上で最適な技術。」としている。

ISSCC2012-02.jpg
※画像は論文資料抜粋:EP-LDPCの適用効果

尚、竹内研究室では「EP-LDPC」技術と合わせてもう一つ「エラー復旧技術」も発明。これは研究生が偶然発見した現象を利用したもので、フラッシュ・メモリが本当に壊れてしまった際にそれを復旧するというもの。エラー訂正やむなくメモリが壊れた時に意図的にパルスを加えゲートにストレスを与えると、しきい値が一瞬下がりメモリを復旧できる。本質的にエラーから復旧する訳では無いが、一瞬だけでもメモリを復旧可能な同手法は書き込みエラーにもデータ保持エラーにも有効で、両エラー復旧の際に与えるパルスをそれぞれPDRP/DRRPと命名している。実際に書き込みエラーの場合で最大76%エラー・レートを下げる効果があったということで、同技術もコントローラーで制御可能。フラッシュの専門家が注目しているという。

ISSCC2012-03.jpg

※画像は論文資料より抜粋:PDRP/DRRPの適用効果

これら竹内研究室の研究成果は、現地時間2月22日にISSCC 2012にて発表される予定。今回JSTの研究開発事業として開発されたその他の技術は以下の通り。

・DRAMモジュールとCPUの無線通信技術 慶應義塾大学 黒田忠宏 教授

ボードにDRAMモジュールを挿す場合、その場所や数によって伝送線路のインピーダンスが変わってしまい、インピーダンスのマッチングを取ることが難しくなる。そこで非接触のワイヤレス通信を用いる事でインピーダンスの調整を行うこと無く高速な通信を実現。DDR3と比較して3倍以上の通信速度の向上を実現した。


・メモリカード向け無線給電技術 慶應義塾大学 石黒仁揮 教授 

フラッシュを用いたメモリカードは、書き込みにmsec単位の時間を要する遅いデバイスであるため、ホスト側と合わせるために数十のチップを同時に動かしており、アプリケーションによっては電力の負荷の変動が激しい。そこで負荷の変わるようなアプリケーションに対して変動に追従して送信電力を高速に制御する無線電力転送システムを開発した。

東京大学 竹内研究室

ISSCC

CMエンジニアリングが検証キット「SAQuT!」の新製品3種を発表-AXI3/AXI4 UVM対応版

2012.02.21
2012年2月20日、LSI機能検証ソリューションを手掛けるCMエンジニアリングは、検証キット「SAQuT!」の新製品3種を発表した。

プレスリリース文

CMエンジニアリングの「SAQuT!」は、SystemVerilogによるランダム検証環境の構築を支援する検証キットで、今回発表された新製品は以下の3種類。

 ・SAQuT!-AXI3 Kit(UVM対応版)
 ・SAQuT!-AXI4 Lite/Stream Kit(UVM対応版)
 ・SAQuT!-AXI4 Full Specification Kit

今回発表された「SAQuT!-AXI3/AXI4(UVM対応版)」は、既存製品のUVM対応版として新たにリリースされたキットで、AXI4 KitはAXI4-LiteおよびAXI4-Stream用のキットが一つのパッケージとなっている。いずれのキットにおいても検証モデルは全てUVM1.1に準拠したUVC(Universal Verification Component)として用意されており、各プロトコルに対するUVMベースの機能検証環境を容易に構築することが可能。インターコネクトモデルには、性能モニタも搭載されており、RTLの機能検証に加えて性能評価にも利用できる。

「SAQuT!-AXI3/AXI4(UVM対応版)」は既に出荷中で、1ライセンスで複数インスタンス、複数シミュレーションに対応可能。検証対象に応じてカスタマイズすることもできる。「SAQuT!-AXI4 Full Specification Kit」は今年4月にリリースされる予定で今回の「SAQuT!-AXI3/AXI4(UVM対応版)」のリリースと合わせて受注が開始された。

SAQuT!-AXI3-UVM.jpg

※画像はSAQuT!-AXI3/AXI4 Kitのイメージ図 CME社提供

CMエンジニアリング株式会社

ASIPS、超小型・超低消費電プロセッサ・コア「Brownie Micro 16」の開発環境にコンパイラを追加

2012.02.20
2012年2月20日、プロセッサ開発システム「ASIP Meister」を手掛ける国内のEDAベンチャーエイシップ・ソリューションズは、同社のプロセッサIP製品「Brownie Micro 16」用のコンパイラをリリースした。

プレスリリース文

発表によるとASIPSの提供する「Brownie Micro 16」用のソフトウェア開発環境は、GNUベースでコンパイラはGCC、デバッガはGDB、アセンブラとリンカはBinutilsをベースとしており、GDB用のシミュレーターも付属されるほかSystemCシミュレーターも利用可能。これまでは、デバッガ、アセンブラ、リンカのみの提供に留まっていたが、今回のコンパイラ提供により、C/C++でもソフトウェア開発が可能となる。

「Brownie Micro 16」は、超小型・超低消費電力アプリケーション専用のプロセッサIPとしてASIPSが開発した製品で、計測値を無線送信するモバイル・アプリケーションをターゲットとしており、具体的には医療、ヘルスケア分野、農業、生物の生態調査などで利用されるモバイル計測機器での応用を想定している。

エイシップ・ソリューションズ株式会社

ZiiLABSの100コアAndroid向けプロセッサ「ZMS-40」はMagmaのツールで設計

2012.02.20
2012年2月16日、Magmaは、Creative Technologyの子会社であるZiiLABS社によるMagma製品の採用事例を発表した。

プレスリリース文

発表によると、ZiiLABSは今年1月に発表した100コアのAndroid向けプロセッサ「ZMS-40」をMagmaのEDAツールで設計しテープアウト。ZiiLABSは2000から10年以上に渡りMagmaのツールを利用しているMagmaの初期ユーザーの1社で、今回の「ZMS-40」の設計を成功させた事を受け、インプリメント・ツール「Talus 1.2」、スタティック・タイミング・アナライザ「Tekton」、寄生抽出ツール「QCP」、回路シミュレーター「FineSim SPICE」、物理検証ツール「Quartz」を次のデザインに向けて配備するという。

ZiiLABSの「ZMS-40」は、4つのARM Cortex-A9(1.5GHz)コアと独自開発のメディア・プロセッサ・コア96個を搭載したAndroid端末向けに最適化した100コア構成のプロセッサで、前世代製品「ZMS-20」と比較して約半分の消費電力で倍のメディア処理性能を実現。
「StemCell Media Processor」と呼ぶ独自のメディア・プロセッサ・コアは、OpenCLを通じて様々な処理を実行できる。ZiiLABSは、「ZMS-40」を搭載したAndroid4.0ベースのJugarタブレットを今年1月に開催されたCES2012で披露している。

zms40_blockdiagram.jpg
※画像は「ZMS-40」のブロック図、ZiiLABS社のWeb上の掲載画像

ZiiLABS

マグマ・デザイン・オートメーション株式会社

Fresco Microchip社がシリコンTVチューナーICの設計でBerkeley DAのAnalog FastSPICEを採用

2012.02.17
2012年2月14日、アナログ/RFおよびミックスシグナル設計向けの検証ソリューションを手掛ける、Berkeley Design Automationは、同社の「Analog FastSPICE」をファブレス・ベンダのFresco Microchipが採用した事を発表した。

プレスリリース文
発表によるとFresco Microchipは、シリコンTVチューナーICの新製品「Simply RF」のブロックレベルのキャラクタライゼーションおよび回路全体検証に「Analog FastSPICE」を採用。同ツールを利用する事で、従来のSPICEよりも15倍高速な回路検証を実現しているという。

Fresco製のシリコンTVチューナーICは既に世界で数百万個出荷されており、LGをはじめとする様々な大手のTVに搭載されているという。

Berkeley Design Automation

Fresco Microchip

Real IntentがLintツール「Ascent」をバージョンアップ

2012.02.17
2012年2月15日、フォーマル検証を中心とした検証ツールを手掛ける米Real Intent社は、同社のLintツール「Ascent」のバージョンアップを発表した。

プレスリリース文

発表によると最新の「Ascent Lint」バージョン1.5では、RTLとゲートレベルのネットリストをカバーするVerilog,SystemVerilog,VHDLの40以上の新ルールを追加。合わせてLintデバッガのGUIとLintポリシーのコンフィギュレーション・ユーティリティ、シンタックス/セマンティックス・エラーのレポート作成機能などをエンハンスした。

Real Intent

米Blue PearlがRTL解析ツールをバージョンアップ、Verificのパーサー採用でSystemVerilogとVHDLをフルサポート

2012.02.17
2012年2月16日、RTL解析を中心とした各種EDAツールを手掛ける、米Blue Pearl Softwareは、同社のツール・スイートのバージョンアップを発表した。

プレスリリース文

Blue Pearlの提供するEDAツールは、RTL静的解析ツール「Analyze RTL」 とSDC自動生成ツール「Create Timing Constraints」の2種類で、今回のバージョンアップにより、「Analyze RTL」がSystemVerilogとVHDLをフルサポート。また、「Create Timing Constraints」においては、生成したSDCファイルをSynopsysの合成ツール「Synplify Pro」へハンドリングするパスが改善された。

「Analyze RTL」は、Lintチェックや論理合成とシミュレーションのミスマッチやレース状態チェック、Clock Domain Crossingの検出などの機能を備えており、非常に高速なフォーマル・エンジンとヴィジュアルな解析環境をうりにしている。今回のSystemVerilogとVHDLのフルサポートは、Verific社のパーサーを導入する事によって実現されたという。

Blue Pearl SoftwareのEDAツールは、国内ではATEサービスが代理店として販売している。

blue-001.jpg
blue-002.jpg
※画像はBlue Pearl Software社Webサイト上の画像「Analyze RTL」

blue-003.jpg

※画像はBlue Pearl Software社Webサイト上の画像「Create Timing Constraints」

Blue Pearl Software

Verific Design Automation

ATEサービス株式会社

Magmaの「FineSim SPICE」とMunEDAの「WiCkeD」がシームレスに連携

2012.02.16
2012年2月15日、MagamaとMunEDAは、両社製品のインテグレーション・ソリューションを発表した。

プレスリリース文

今回両社が発表したのは、Magamaの回路シミュレーター「FineSim SPICE」とMunEDAのDFYツール「WiCkeD」を統合したソリューションで、最先端の28/20nmプロセス・テクノロジをターゲットにアナログ/デジタル回路設計の最適化を強化ならびに高速化するもの。具体的には、アナログ/デジタル回路設計の最適化ツール「WiCkeD」内から「FineSim SPICE」を起動することが可能となり、両製品を使用しているユーザーは、「WiCkeD」の最適化能力と「FineSim SPICE」のシミュレーション能力の双方を一つの環境で享受できるようになった。

この新しいソリューションは既に提供を開始しており、両社の共通顧客である複数の世界的な半導体企業で利用されているという。

マグマ・デザイン・オートメーション株式会社

MunEDA社

SynopsysのESL環境「Platform Architect」がArterisのインターコネクトIP「FlexNoC」のモデルをサポート

2012.02.16
2012年2月15日、SynopsysとArterisは、両社の協業によりSynopsysの「Platform Architect」で使用できるArterisのインターコネクトIP「FlexNoC」モデルの提供開始を発表した。

プレスリリース文

Arterisの「FlexNoC」モデルは、FlexNoCコンフィギュレーション・ツールが生成するSystemC TLMモデルで、同モデルを取り込むためにSynopsysはPlatform Architect MCO(Multicore Optimization Technology)をエンハンスし、トランザクタや解析モニタに新機能を追加した。これにより設計者は、「Platform Architect」上で「FlexNoC」を用いたシステムのバーチャル・プラットフォームを開発できるようになり、それを用いたシステムのパフォーマンス解析、アーキテクチャ検討などが可能となる。

ArterisのインターコネクトIP「FlexNoC」を採用する企業はこの1?2年で急速に増えており、業界トップ・シェアのESL環境「Platform Architect」が「FlexNoC」モデルをサポートするのは言わば自然の流れ。今後は他のESL環境でも「FlexNoC」モデルのサポートが広がるかもしれないが、まずSynopsysがその先陣を切った形となる。

SNPS-001.jpg
SNPS-002.jpg
SNPS-003.jpg
※画像はSynopsys社提供のデータ

日本シノプシス合同会社

Arteris社

メンター・グラフィックス・ジャパンに日本人新社長井上公夫氏が就任

2012.02.16
2012年2月16日、Mentor Graphicsは、同社の日本法人の代表取締役社長に井上公夫(いのうえきみお)氏が就任した事を発表した。

プレスリリース文

発表によると井上新社長は2月1日付でメンター・グラフィックス・ジャパン株式会社の代表取締役社長に就任。これまで指揮をとっていた同社のプレジデントSean Murphy氏は、2012年6月30日の任期満了まで井上新社長を補佐するという。

井上氏は、米Tulane大学大学院修了後、1980年にHewlett-Packardに入社、1995年に米ハーバード大学ビジネススクールでPMDを修了、2003年にHP日本法人でパーソナル・システム統括本部ワークステーション本部本部長を務めた後、2006年にCADツールベンダPTCジャパン株式会社の社長に就任し2009年末まで同職を務めた。

メンター・グラフィックス・ジャパン株式会社

Forte、高位合成ツール「Cynthesizer」が日韓米で好調、2011年売上は前年比30%増

2012.02.16
2012年2月15日、SystemCからの高位合成ツールを手掛ける米Forte Design Systemsは、同社の2011年売上実績を発表した。

プレスリリース文

具体的な売上額は明らかにされていないが、Forteによると同社の2011年売上は前年比30%増を記録。日本、韓国、アメリカにおける高位合成ツール「Cynthesizer」の売上が好調であるとの事。USBコントローラを含む無線/有線通信分野でも製品開発に利用されているらしく、日韓米それぞれビッグユーザーが存在しているようだ。

フォルテ・デザイン・システムズ株式会社

Synopsys、TSMC 28nmプロセス対応のEmbedded Memory IPをリリース

2012.02.16
2012年2月15日、Synopsysは、TSMC 28nmプロセス・テクノロジ向けのDesignWare Embedded Memory IPならびにLogic Library IPのリリースを発表した。

プレスリリース文

今回リリースされたメモリIPとロジックIPは、TSMCの28nm High Performance(HP)およびHigh Performance for Mobile(HPM)プロセス・テクノロジに対応しており、これらIPを用いることで各プロセス向けに最適な性能と消費電力を達成することが可能となる。また、「DesignWare STAR Memory System」が提供する組込みテストおよびリペア・テクノロジと組み合わせて使用すれば、チップ面積の削減やタイミング収束までのTAT短縮も実現可能。合わせて提供されるシリコン実装後のメモリー不良箇所と原因の自己診断とデバッグ機能により、設計期間とテストコストの削減、製造歩留まりの向上も可能となる。

日本シノプシス合同会社

Synopsys、業界初となるHDMI 1.4 PHY IPをリリース-ファウンドリ各社の28nmプロセスに対応

2012.02.14
2012年2月13日、Synopsysは、DesignWare IPの新製品「DesignWare HDMI 1.4 PHY IP」のリリースを発表した。

プレスリリース文

発表によるとSynopsysの「DesignWare HDMI 1.4 PHY IP」は、HDMI TX(トランスミッタ)およびHDMI RX(レシーバ)の2種類のデジタル・コントローラIPとHDMI TX/RX2種類の高速PHY IPから成るIPソリューションで、最新の標準インタフェース仕様HDMI 1.4準拠のIPとしては業界初となる製品。HDMIとHDCP(High-bandwidth Digital Content Protection)の両仕様に準拠しており、HDMI公認のテスト・センターによる準拠性認証を取得しているほか、複数のファウンドリの最先端28nmプロセスに対応している。また、HDMI TX/RX両コントローラIPはコンフィギュレーション可能で、要求仕様に合わせて、面積、消費電力、動作性能を最適化して実装できるという。

尚、「DesignWare HDMI 1.4 PHY IP」は、28nmプロセス向けに限らず、40-90nmプロセス向けの製品も提供中との事。

日本シノプシス合同会社

英CSRが40nmデジカメ向けSoC設計でSynopsysのインプリメント環境「Galaxy」を採用

2012.02.10
2012年2月9日、Synopsysは、同社のインプリメント環境「Galaxy」を英ファブレス大手のCSRが採用した事を発表した。

プレスリリース文

発表によるとCSRは、ハイエンドのデジタルカメラ用40nmSoCの設計でSynopsysの「Galaxy」プラットフォームを採用。設計したチップは何百万ものインスタンスとIPブロックが含まれる非常に複雑なデザインで、Synopsysの提供するUSB 2.0およびDDRの設計IPも使用されていたとの事。同社の設計チームは英米中およびイスラエルに展開されており、SynopsysのグローバルなサポートとARMベース設計における優れたコンサルタントの存在が「Galaxy」の採用を後押ししたという。

日本シノプシス合同会社

業界の新たなアワード「2012 UBM Electronics ACE Awards」のファイナリスト出揃う

2012.02.10
2012年2月6日、米業界紙EE TimesとEDNは「2012 UBM Electronics ACE Awards Program」のファイナリストを発表した。

プレスリリース文

「UBM Electronics ACE Awards Program」は今年から新設された新しい業界アワードで、これまでEETimesが毎年4月に発表していた「EE Times ACE Awards」とEDNが毎年3月に発表していた「EDN Innovation Awards」を統合したもの。UBM社による両業界紙の経営統合により2011年まで個別に行われていたアワードが統合された。

「2012 UBM Electronics ACE Awards」は、EE TimesとEDNの記者によって選ばれた各部門のファイナリストの中から選出され、プロダクト部門については読者による投票で受賞者が決定される。今年の投票は2月24日までとなっており、受賞者は3月27日に発表される予定。

今年のEDA関連のファイナリストとしては、「COMPANY OF THE YEAR」としてCadenceが選出されており、合わせて同社のPresident & CEO Lip-Bu Tan氏が「EXECUTIVE OF THE YEAR」のファイナリストに名を連ねている。更にCadenceは同社の製品「Wide I/O memory controller core」と「Virtual System Platform」がプロダクト部門でファイナリストに選ばれている。

EDAとしては最も近い「Software」部門のファイナリストは以下の通り。

・Cadence Design Systems「Virtual System Platform」
・Synopsys「Virtualizer」
・Microchip Technology「MPLAB X Integrated Development Environment (IDE)」
・NXP Semiconductors「JenNet IP」
・Zuken「CR-8000 Design Force」

尚、その他、今回のファイナリストで目についたのはXilinx関連。同社は「COMPANY OF THE YEAR」に選出されているほか、「Zynq-7000 EPP」、「Virtex-7 2000T」、「Spartan-6 Consumer Video Kit 2.0」の3製品がファイナリストに選ばれている。

2012 UBM Electronics ACE Awardsファイナリスト

テストケース自動生成の米Breker Verification Systems、好調で2011年売上は前年比150%増

2012.02.09
2012年2月8日、SoC機能検証ソリューションを手掛ける米Breker Verification Systemsは、好調だった同社の2011年実績を発表した。

プレスリリース文

具体的な売上金額は発表されていないが、Breker Verification Systemsによると、同社は売上好調で2011年売上は前年比150%以上となる好成績を残した。Breker Verification Systemsは、マルチ・スレッド/マルチ・プロセッサSoC向けの機能検証ツール「TrekSoC」を提供しており、同製品が売上増の原動力となっているようだ。

発表には、北米、欧州、インドに同社製品の大手半導体ユーザーが存在しているとあるが、同社のWebサイト上の情報によるとNVIDIAやSTMicroelectronicsが顧客のようである。
ちなみに筆者が2007年の44回DAC時点で取材した際は、既に5-6社の顧客がいると聞いていたが、当時提供していた製品は現在の「TrekSoC」ではなく「Trek」であった。

「TrekSoC」は、マルチ・スレッド/マルチ・プロセッサSoC向けにセルフ・チェック用のCのテストケースを自動生成するツールで、テキストまたはグラフィカル入力によりユーザーが作成したテスト・シナリオを元にCのテストケースを生成、同テストケースを用いる事でSoCのシステム動作やコネクティビティ、マルチスレッド機能などを検証可能で、カバレッジ解析等も可能としている。

SoC_1.jpg

※画像はBreker Verification SystemsのWeb上に掲載されているもの

尚、同社は本社の移転と新たな役員加入を合わせて発表。拠点をテキサス州オースチンからカリフォルニア州フレモントに移し、様々な検証系EDAベンダでの活動経験があり、シリコンバレーのエンジェル投資家としても有名なMichel Courtoy氏を役員に迎え入れたという。

Breker Verification Systems

Bluespec User Group Meeting 2012

2012.02.08
2012年1月27日、サイバネットシステム社の主催するセミナー「Bluespec User Group Meeting 2012」が開催された。

セミナーは、Bluespec社の提供するSystemVerilogベースの独自言語「Bluespec SystemVerilog(以下、BSV)」とBSVツールセットを用いた設計手法、設計事例を紹介するもので、計5つの講演が行われた。

セミナー案内ページ

Bluespec側の講演は、本社マーケティングVPのGeorge Harper氏と、日本代理店であるサイバネットのBluespec担当AE 工野 勝彦氏によって行われ、BSVを用いた設計および検証のメリット、SystemCとBSVの協調設計の手法などが紹介された。

George Harper氏の話のポイントは大きく2つ。一つは高位設計ツールとしてのBSVの強みで、例によってデザインを問わず制御ロジックの合成にも対応可能な点や、BSV資産の再利用性を高めるパラメタライズ機能などが紹介され、BSVの能力を裏付けるエピソードとして、IBMの事例(PowerPCパイプラインモデルの開発)やマサチューセッツ工科大学での教育利用、IEEE MEMOCODEでのデザイン・コンテストにおける実績(直近5年でBSVユーザーが出場した年は4回全て優勝)などが紹介された。
※IBMの事例についてはブログ「Verification Evangelistの戯言」でも紹介されている。

BSV-12-10.jpg
※画像はBluespec提供のデータ

もう一つGeorge Harper氏が強調したのは「合成可能なBSV」という点で、BSVでテストベンチを記述すればSystemVerilogよりも少ない記述量で済み、かつそれらを合成してFPGAプロトタイピングやエミュレーションでも利用出来るという例や、BSVでバーチャル・プラットフォームを作成すれば、そのまま合成してFPGAエミュレーションで使用可能といった例が紹介された。

BSV-12-11.jpg

BSV-12-12.jpg
※画像はBluespec提供のデータ

尚、Bluespecはこれまで同社のツールを導入した顧客として、北米のモバイル半導体大手3社(企業名非公開)、STマイクロエレクトロニクス、パナソニック、富士通、日立製作所などを挙げているが、国内ユーザーとしてはその他にもビッグ・ユーザーが存在している他、Intelも同社のユーザーであるという話だった。

一方の工野氏の話は「SystemCとBSV」の混在利用に関するもので、BSVでもSystemC TLM同等のモデリングが可能とした上で、性能検証にはRTLより抽象度の高いCAレベルでコンフィギュレーションの変更でモデルのチューニングが可能なBSVが便利と解説。(BSVコンパイラはSystemC CAモデルを生成できる)実際にCAレベルのチューニングに着目してBSVを評価しているユーザーもいるという事だった。

BSV-12-20.jpg
※画像はCybernet提供のデータ

また、回路の合成に関しては、アルゴリズムはSystemCから市販高位合成ツールで合成、制御回路やバスなどはBSV書いてBSVコンパイラで合成という、SystemCとBSVで補完し合う併用方式を提唱。SystemCモデルとBSVモデルのインタフェースは、BSVのラッパ生成機能を使えばSystemCのTLMまたはModular I/Fからピンレベルに変換するラッパーを生成してくれるという。(※ラッパー生成機能は現在開発中とのこと)

BSV-12-21.jpg
BSV-12-22.jpg
※画像はCybernet提供のデータ

続いて事例講演の話。今回は昨年の「Bluespec User Group Meeting 2011」で評価事例を発表した日立製作所 通信ネットワーク事業部 共通設計本部 DA部 主任技師 菊池 光司 氏が「通信ネットワーク事業部でのFPGA論理設計・検証へのBluespec適用事例」と題して講演を行った。

菊池氏によると、同社ではBSVを2009年6月頃から評価開始、2011年1月には正式にBSVを導入し、ユーザーの増加に合わせて2011年12月に追加導入。現在ユーザー数は15名で高位合成、テストベンチ、エミュレーションと大きく3つの用途でBSVを活用している。今回の講演では光通信ネットワーク向けパケット通信装置の設計事例が紹介された。

BSV-12-00.jpg
※画像は日立製作所提供のデータ

菊池氏の説明によると、パケット通信装置の設計はFPGAをターゲットにBSVとC、既存のRTLを組み合わせて実施。チップ間インタコネクト・プロトコル「InterLaken」をはじめとする幾つかの機能ブロックでBSVを積極的に利用した。スループット1で、3種類のマルチ・クロックを使う「InterLaken」のような回路の設計事例はBSVならではと言える。Cを用いた機能ブロックについては他社の高位合成を利用した。

BSV-12-01.jpg
※画像は日立製作所提供のデータ

検証については、BSV、C、既存RTLの個々の機能ブロックをRTLでシミュレーションした後にチップ全体のシミュレーションを実施した。モジュールやブロックのRTLシミュレーションではテストベンチの記述にBSVを利用。全体シミュレーションでは、SystemVerilogのテストベンチとeVCを用いたランダムテストを行った。また、全体シミュレーションの後でエミュレーターを使用する際にもBSVを利用。テストベンチと外部の機能モデルをBSVで記述し、それら全てをエミュレーター上に実装する事で高速検証を実現した。

BSV-12-02.jpg

BSV-12-03.jpg
BSV-12-04.jpg
※画像は日立製作所提供のデータ

菊池氏はBSVの利点として、「論理記述の効率化」、「論理品質向上」、「再利用性向上」の3点を挙げたが、「論理記述の効率化」の説明で興味深かったのは「リソースを主語にコードを書くか、処理を主語にコードを書くか」というくだりで、BSVは処理を主語にコードを記述するのに適しており、その記述方法であれば仕様をダイレクトにコードに落とす事ができるという事だった。また「論理品質向上」については、BSVの言語体系そのものの良さと合わせてデバッグの容易性を指摘。同社ではBSVコンパイラのデバッグ機能の他に、Vennsa社のバグ原因解析ツール「OnPoint」を導入してBSVのバグ解析に利用しているという。

BSV-12-05.jpg
※画像は日立製作所提供のデータ

菊池氏は講演の最後にBSVによる設計の評価をまとめたが、ネガティブな評価は言語習得は難しいという点とコードの汎用性が低いという点、あとは専用のBlueSimシミュレーターではRTLの10倍近い速度は見込めないという点だけだった。同社では新規設計ではBSVを使う方向に動いており、今後はグループの枠を超えて系列会社などにも展開していくようだ。

BSV-12-06.jpg
※画像は日立製作所提供のデータ

尚、今回セミナーの最後にサイバネットシステムからサプライズなお知らせがあった。
それはBSVの専用シミュレーター「Bluesim」の無償版の提供で、日本限定で2/1より無償版の「Free Bluesim」の提供が開始される予定。合わせてBSVのサンプル集のPDFドキュメントも無償配布される予定。その詳細は以下の通り。

・機能制限:記述内のオブジェクト数600まで
・期間制限:3ヶ月間(再度申し込み可=おかわり自由)
・商用利用禁止
・技術サポート対象外 
※技術サポート付きの通常評価ライセンスは従来通り別途提供
※申し込みURL http://www.cybernet.co.jp/bluespec/download/free.html

サイバネットシステム株式会社

中国ファブレスIngenic SemiconductorがArterisのチップ間接続IP「C2C」を採用

2012.02.08
2012年2月7日、SoCインターコネクト設計ソリューションを手掛ける仏Arterisは、同社のChip-to-ChipインターコネクトIP「C2C」を中国Ingenic Semiconductorが採用した事を発表した。

プレスリリース文

発表によるとIngenic Semiconductorは、次世代の携帯向けSoC「XBurst」でArterisのチップ間接続IP「C2C」を採用。各社による数多くの「C2C」採用事例と同じく、プロセッサとモデムのメモリの共有化を図り、SoCの低コスト化と少面積化を実現した。

Ingenic Semiconductorは、昨年12月に世界初となるMIPSベースのAndroid4.0タブレッット(100ドル以下)を発表して話題となった。

Arteris

Ingenic Semiconductor

Juniper NetworksがJasperのフォーマル検証ツールを社内設計/検証フローに採用

2012.02.08
2012年2月7日、フォーマル検証ツールを手掛ける米Jasper Design Automation社は、同社のフォーマル検証ツールをネットワーク・ソリューション大手のJuniper Networksが採用した事を発表した。

プレスリリース文

発表によるとJuniper Networksは、Jasperのフォーマル検証ツール「JasperGold」を社内の設計および検証フローに採用。Juniper Networksは「JasperGold」の利用が品質改善に繋がる事を早々に認め、すぐにプロパティを用いた「JasperGold」ベースのフォーマル検証手法を実践した。デザイン・サイクルの初期段階でRTLを視覚化できる点、テストベンチを必要とせずに検証できる点が採用に当たっての鍵となったようだ。

Jasper Design Automation

Samsungが32,28,20nmSoCのサインオフ/DFMフロー構築でCadenceとコラボレーション

2012.02.07
2012年2月6日、Cadenceは、Samsungとのファウンドリ・ビジネスにおけるコラボレーションを発表した。

プレスリリース文
プレスリリース文(日本語)

発表によるとSamsungとCadenceは緊密な協業により、Samsungのファウンドリ・ビジネス向けの設計フォローを構築。同フローは32,28,20nmの先端プロセス設計におけるフィジカル・サインオフとDFMを実現するもので、ランダムおよびスタティックなイールド問題に対応、 Cadenceのデジタル・インプリメント環境「Encounter」とカスタム/アナログ・インプリメント環境「Virtuoso」をベースに構築されており、「Cadence Pattern Classification and Search」、「Cadence CMP Predictor」、「Cadence Litho Physical Analyzer」、「Cadence Yield Analyzer」、「Cadence Yield Optimizer」といった複数のDFMツールおよび技術が利用されているという。

SamusungはCadenceとのコラボレーションにより、32および28nm設計フローの構築に成功しており、今回更にそのフローを20nmプロセス設計フローにまで拡張。ファウンドリ・ビジネスの強化に伴うフロー拡張のパートナーにCadenceを選んだ。

日本ケイデンス・デザイン・システムズ社

DesignCon2012、DesignVision AwardsはValydate、Mentor、Apacheが受賞

2012.02.07
2012年2月1日、サンタクララで開催していたLSI設計分野の国際学会「DesignCon 2012」にて、恒例の「DesignVision Awards」の受賞者が発表された。

プレスリリース文

今年EDAベンダで「DesignVision Awards」を受賞したのは、Valydate、Mentor Graphics、Apache Design、Altiumの4社で各受賞部門と受賞製品は以下の通り。

・Valydate社「Valydate Design」ポストレイアウト検証ツール
 Design Verification Tools Categoryで受賞

・Mentor Graphics社「Calibre RealTime」アナログ/カスタム設計環境向けDRC
 IC Design Tools Categoryで受賞

・Altium社「Altium Designer 10」FPGA/PCB統合設計環境          
 PCB Design Tools Categoryで受賞

・Apache Design社「RTL Power Model (RPM)」IC電源解析用RTLパワーモデル
 System Modeling & Simulation Tools Category

その他カテゴリの受賞者、受賞製品は以下の通り。

・Molex社「EXTreme Guardian Solutions」
 Interconnect Technologies & Components Category

・Memoir Systems社「Algorithmic Memory」            
 Semiconductor & IP Category

・Akros Silicon社「Energy$ense™ Family of Digital Power Management Units (DPMUs)」
 Semiconductor Components and ICs Category

DesignCon2012

RambusがCMOx技術の不揮発性メモリー・ベンチャーUnity Semiconductorを3500万ドルで買収

2012.02.07
2012年2月6日、高速メモリ・アーキテクチャのライセンス企業Rambusは、不揮発性メモリーを手掛ける米ベンチャー企業Unity Semiconductorの買収を発表した。

プレスリリース文

発表によるとRambusは現金3500万ドルでUnity Semiconductorを買収。Unity SemiconductorのメンバーはRambusに移ることになった。Unity Semiconductorは、「CMOx」と呼ばれる導電性金属酸化物(Conductive Metal Oxide)を用いた技術により、トランジスタを使用しない不揮発性メモリを開発するベンチャー企業で設立は2002年。2009年の時点で同社は借入金1000万ドルを含めた7500万ドルの資金を調達していたが、製品化がうまく行かず財政難に陥りメモリ大手のMicron Technologyからの投資で救われたとされている。

Unity Semiconductorの「CMOx」技術を用いた不揮発性メモリは、NANDフラッシュ・メモリよりも高密度(約4倍)、高速(書き込み速度が5-10倍)で信頼性も高く、製造コストは低いとされ、NANDフラッシュを置き換える次世代のテラビット世代の不揮発性メモリとしてアピールされていた。

Rambus

Unity Semiconductor

11年世界半導体年間売上は前年比0.4%増の2995億ドル、過去最高なるも失速し大台届かず

2012.02.07
2012年2月6日、米SIA(Semiconductor Industry Association)は、2011年12月の世界半導体売上高を発表した。

プレスリリース文

SIAの報告によると、2011年12月の世界半導体売上高は前年同時期比約5.3%減、前月比約5.5%減の238億3000万ドルという統計結果に終わった。2011年10月-12月の四半期平均売上は238億3000万ドルで7-9月の四半期平均を7.7%下回っており、年度後半での世界的な売上失速を裏付けている。

2011年12月売上を地域別で見ると、日本市場の売上は前年比9.5%減と大きく後退。欧州は経済悪化で日本を上回る前年比15.8%減となった。

最終的に2011年の世界半導体売上合計は2995億ドルで前年比0.4%増という結果。つい2ヶ月前までは年間売上3000億ドル突破は確実と見られていたが、11月、12月の落ち込みにより3000億ドルの大台には届かなかった。日本における震災に加え、タイの水害や欧州の経済悪化が世界の半導体売上に大きな影響を与えた。

2011年半導体市場を製品セグメントで見ると、ランプおよびイメージ・センサーの好調により光エレクトロニクス市場は前年比6.4%増の231億ドル、センサーおよびアクチュエーターの市場は前年比15.5%増の80億ドル、マイクロ・プロセッサ市場は前年比7.5%増の652億ドルと複数のセグメントが大きな成長を見せた一方で、売上で最大セグメントのロジックおよび3番目のメモリの売上が伸び悩んだ。

SIA2012-2-6-01.jpg
SIA2012-2-6-02.jpg
SIA2011-2012-01.jpg
SIA2011-2012-02.jpg
SIA

仏研究機関CEA-Letiが3-D ICパッケージング・サービスを開始-Open 3D Initiativeを立ち上げ

2012.02.03
2012年2月1日、CEA-Leti(フランス原子力庁 電子・情報技術研究所)は、「Open 3D Initiative」と呼ぶ3-D ICパッケージング・サービスの開始を発表した。

プレスリリース文

発表された3-D ICパッケージング・サービスは、企業の製品開発に大学等の研究に向けられたもので、CEA-Letiが構築した3-D IC実装用の環境「Open 3D platform」をベースに、レイアウト、インターコネクション、TSV形成、コンポーネント・アセンブリ、信頼性テスト、パッケージングなど3-D ICの試作/パッケージに関する一連のサービスが提供される。同サービスは仏グルノーブルのMinatecにある200mmウエハー・ラインで開始され、今年度中に300mmウエハーによるサービスも開始される予定。

CEA-Letiの「Open 3D Initiative」を通じてアクセスできる主な3-D IC技術は以下の通りで、こちらのcatalogue modules technos.pdfにその詳細が技術されている。

※同サービスで利用されるEDAツールとして、Cadenceの「Virtuoso」、Mentor graphicsの「Calibre」の名が記載されている。

・Through silicon vias (TSV) with aspect ratios to 1:3
・Interconnects for chips to wafers based on micro-bumps technology
・Interconnects for chips to substrates based on bumps technology
・Redistribution layers (RDL)
・Under-bump metallurgy (UBM)
・Temporary bonding, thinning and debonding

leti-01.jpg

liti-02.jpg

leti-03.jpg
※画像はCEA-Letiの資料抜粋

CEA-Leti

SonicsはArterisとの特許侵害訴訟を進めていく方針。Arterisの主張を否定。

2012.02.03
2012年2月1日、SoCインターコネクトIPを手掛ける米Sonicsは、Arterisとの特許侵害訴訟を進めていく方針であることを表明した。

プレスリリース文

今回のSonicsの表明は、1月27日にArterisがSonicsに対して行った申し立てに反応したもので、SonicsはArterisの主張を否定し訴訟を継続する意志を強く示した。

Arterisは特許侵害で訴えを起こしたSonicsに対し特許侵害の事実を否定し、逆に同社の特許侵害を申し立てている。

関連記事:インターコネクトIPの仏Arterisが米Sonicsの特許侵害を申し立て


Sonics

Arteris

米Verificがパワー・フォーマットUPF2.0のパーサーを開発

2012.02.03
2012年2月2日、EDAツール用の各種機能コンポーネントを手掛ける米Verific Design Automationは、パワー・フォーマットIEEE 1801-2009のパーサーを開発した事を発表した。

プレスリリース文

発表によると、UPF2.0の名で知られるパワー・フォーマットIEEE 1801-2009に対応したVerificのパーサーは製品としてすぐに利用可能。他のパーサー製品と同じくC++ソースコードで提供され、スタンドアロンでの利用のほか、Verificの提供するSystemVerilogやVHDLのパーサーにアドオンする形で利用することもできる。

UPF(Unified Power Format)2.0は、EDA関連の規格標準化団体Accelleraが策定したパワー記述フォーマットで、2009年3月にIEEE標準化を完了。低消費電力設計向けに電力に関する仕様をデザインに反映させるために作られた規格で、電力の定義や意図を明確化するもの。

発表に寄せられたコメントよると、既に仏DeFacTo TechnologiesがVerificのUPFパーサーをEDA製品に利用しているようだ。

Verific Design Automation 

DeFacTo Technologies