NEWS

XilinxがDSPを統合したZynq-7000ファミリの新製品「Zynq-7100」を発表

2013.03.22
2013年3月19日、Xilinxは、ARM搭載28nm FPGA「Zynq-7000ファミリ」の新製品「Zynq-7100 All Programmable SoC」を発表した。

プレスリリース文
発表によると「Zynq-7100」は、既存のARM搭載FPGA「Zynq-7000ファミリ」にDSPを統合した新デバイスで、最も高性能な「Zynq-7045」の2倍以上のシグナル・プロセッシング能力を発揮。DSPを用いる各種アプリケーション開発を加速するだけでなく、FPFA,ARMプロセッサ,DSPをワン・チップに統合することで、チップを複数用いるソリューションよりも低い部品コスト、低い消費電力を実現する事ができるという。

Xilinxの「Zynq-7000ファミリ」は、ASICやASSPの置き換えを狙うFPGAベースのSoCとして2011年12月に出荷を開始。Xilinxの今年2月時点の発表によると、既に350社を超える顧客を獲得しており、車載、FA、通信、データセンター、防衛など幅広い分野で採用が進んでいる。今回、新たにDSPを統合した「Zynq-7100」を製品化することで、Xilinxはその適用範囲を更に広げ、ワイヤレス、放送機器、医療などの分野のアプリケーションも狙っていく構えである。

尚、Xilinxは既に「Zynq-7000」を用いたビデオ・アプリケーションの開発向けに「Zynq-7000 ビデオ キット」をリリースしているが、今後は様々なアプリケーション開発に特化した各種Zynq開発キットを提供していく計画だという。

ザイリンクス株式会社

TFT-LCDパネルドライバICの台湾RaydiumがBerkeleyDAの「Analog FastSPICE」を採用拡大

2013.03.14
2013年3月12日、アナログ/RFおよびミックスシグナル設計向けの検証ソリューションを手掛ける、Berkeley Design Automationは、TFT-LCDパネルドライバICを手掛ける台湾のファブレスRaydium Semiconductorが同社の回路シミュレーター「Analog FastSPICE」の採用を拡大したことを発表した。

プレスリリース文

Raydiumは、昨年の3月にBerkeleyの「AFS Platform」を導入。以降、TFT-LCDアプリケーション向けディスプレイICおよびパワーICの検証に対し、「AFS Platform」を利用しているが、同ツールが安定したナノメータSPICE精度で以前のシミュレータよりも5倍から10倍高速なシミュレーションを実現していることから、設計チーム全体に「AFS Platform」の利用を拡大することに決定したという。

Berkeley Design Automation

Aldecが新たなビジュアル・デバッギング・ツール「プロットウィンドウ」をリリース

2013.03.13
2013年3月11日、論理シミュレータ他、各種ASIC/FPGA設計ツールを手掛ける米Aldecは、論理シミュレータ「Riviera-PRO」をバージョンアップし、新たなビジュアル・デバッギング・ツール「プロットウィンドウ」をリリースした事を発表した。

プレスリリース文

発表によると論理シミュレータ「Riviera-PRO」の最新バージョン 2013.02 は、デバッグ効率向上のためのエンハンスが数多く施されており、その目玉となるのが新ビジュアル・デバッギング・ツール「プロットウィンドウ」。同ツールは視覚的なアプローチでデバックの効率化を目指すもので、4種類用意されるプロットタイプを用いて大規模データセットを効率的に視覚化。新たなコードを書くことなく、デザイン内部の信号同士の関係を視覚化して解析する「仮想信号表現」機能も備えられている。

plot01.jpg

plot02.jpg
plot03.jpg
plot04.jpg

※画像は4種類の「プロットウィンドウ」のサンプル画面。Aldec提供のデータ。



Aldecは今回リリースした「プロットウィンドウ」を、波形によるデバッグを置き換えまたは補完するソリューションとして展開していく構え。大規模データを扱う画像処理、デジタル・フィルタリング、工業制御システム、通信システムなどのデバッグに効果を発揮するという。

尚、その他にもデバッグ向け機能として「条件文に基づくブレークポイントの設定機能」が追加されたほか、今回のバージョンアップではVHDL 2008のサポート拡張、シミュレーション・エンジンの強化(ゲートレベルのネットリスト・シミュレーション性能が1.5-2倍に向上)などが実施されている。

※プロットウィンドウの技術論文
(ダウンロードには Aldec のウェブサイトへの登録が必要。)
※プロットウィンドウのデモ (Youtube video)

アルデック・ジャパン株式会社

CadenceがTensilicaを3億8000万ドルで買収へ

2013.03.12
2013年3月12日、Cadenceはプロセッサ・コアのIPベンダTensilicaの買収を発表した。

プレスリリース文

発表によるとCadenceとTensilicaの両社は買収に関する契約を締結。約3億8000万ドルの現金でCadenceがTensilicaを買収することになった。

TensilicaはDPU(dataplane processor unit)と呼ぶコンフィギュラブル・プロセッサIPやオーディオ向け等のDSP IP、コントローラIPを展開しており、スマートフォン、タブレット、ノートPCなどのモバイル端末の他に、デジタルTVやストレージ、ネットワーク・アプリケーション等でも量産採用されているほか、3G/HSPA+やLTE、LTE-Advancedをはじめとする標準規格のモデム開発にも多用されている。

Tensilicaの発表によると昨年12月時点でライセンシーが200社、計500以上のライセンスを提供し、IPコアの出荷数は20億個以上、Tensilica提供の標準品ならびにライセンシーによるカスタム品合わせて数千種のDPUがシリコン化されているとの事。

同社は言わずと知れた世界的なIPベンダで、モバイル端末市場の急成長に乗り業績を拡大している企業の一つ。日本企業も古くからドコモ、富士通、NEC、パナソニック等が出資しており、今後も更なる成長が見込まれていた。

Cadenceは各種設計および検証用IPを手掛けているが、Tensilicaの買収によって一気に世界的なIPベンダとしての地位を獲得する事になる。

日本ケイデンス・デザイン・システムズ社
テンシリカ株式会社

カスタムICレイアウト自動化ソリューションのPulsic、2012年は売上前年比2ケタ増

2013.03.11
2013年3月7日、カスタムIC向けのレイアウト自動化ソリューションを手掛ける英Pulsic社は、2012年の業績について発表した。

プレスリリース文

発表によるとPulsicは2012年、北米およびアジアの主要顧客と長期のライセンス・リニューアル契約を実現。メモリ・メーカーである同主要顧客に対し、新たな階層化設計フローおよびメソドロジを提供し、売上前年比2ケタ増を達成した。

Pulsicは成功を収めているプランニング・ソリューションに加えて、昨年5月にインプリメンテーション・ソリューションとして、下記3種類の製品をリリース。更に9月には本社を英国から米サンノゼに移し体制を拡大した。

・Unity Analog Router
・Unity Custom Digital Placer
・Unity Custom Digital Router

Pulsic

Cadenceが業界初のMobile PCI Express仕様準拠の設計/検証IPを発表

2013.03.11
2013年3月8日、Cadenceは、「Mobile PCI Express仕様」に準拠した設計および検証IPを発表した。

プレスリリース文

発表によると今回Cadenceが発表した「Mobile PCI Express仕様」準拠の設計および検証IPは、MIPI(Mobile Industry Processor Interface)アライアンスが策定したモバイル機器向けの高速インタフェース規格「M-PHY」上で動作するPCI Expressアーキテクチャの開発を可能とするもの。

CadenceはPCI ExpressのIPに関しては強いソリューションを展開しているが、MIPI規格のIPに関しては競合のSynopsysに先行を許しており、今年2月にMIPI D-PHYおよびM-PHYのIPを手掛けるインドのCosmic Circuits社の買収を発表するなど、追従姿勢を強めている。今回Cadenceは、PCI Express向けIPの強みを生かして業界初となる「Mobile PCI Express」向けIPを実現した格好だ。

※関連ニュース:CadenceがインドのIPベンダCosmic Circuitsを買収へ、MIPI/USB PHY IPを獲得

日本ケイデンス・デザイン・システムズ社

Tanner EDA、AMS設計環境「HiPer Silicon」をバージョンアップ

2013.03.11
2013年3月6日、アナログ/ミックスドシグナル設計統合環境およびMEMS向け設計環境を手掛ける、Tanner Research社のEDA事業部Tanner EDAは、同社のAMS設計環境「HiPer Silicon」をバージョンアップを発表した。

プレスリリース文

発表によると最新の「HiPer Silicon」バージョン16では、内蔵するレイアウト・エディタ「L-Edit」が新たに業界標準の「OpenAccess」データベースをサポートし、他社ツール環境との相互運用性が高められたほか、チーム設計向けのマネジメント機能の追加やファイルのロード/レンダリング・スピードの改善、物理検証のパフォーマンス改善などが施された。

また、フロントエンド設計向けには、同社の「T-Spice」とAldecの「Riviera-Pro」のインテグレーションによって実現した「ミックス・シグナル・シミュレーション機能」が新たに追加され、回路図エディタ「S-Edit」や波形解析ツール「W-Edit」も機能が強化された。

尚、Tanner EDAは、「HiPer Silicon」にIncentiaの論理合成ツール「DesignCraft」およびタイミング解析ツール「TimeCraft」を取り込んだ低価格なアナログ/ミックスド・シグナル設計環境「HiPer Silicon AMS」を今年2月に発表している。

※関連ニュース:Tanner EDAのAMS設計環境とIncentiaの論理合成/STAがインテグレーション

最新の「HiPer Silicon」バージョン16は、既にWindows版がリリースされており、2013年Q2にLinux版がリリースされる予定。

タナーリーサーチジャパン株式会社

Altium、Cadence、MentorのEDAツールが「EE Times-China ACE award 2013」を受賞

2013.03.07
2013年3月1日、中国のメディアGlobal Sourcesは、同社とEETimesグループが主催する「China ACE Awards 2013」の受賞者を発表した。

プレスリリース文

「China ACE Awards」は、中国エレクトロニクス市場における優れた企業、製品、技術を表彰するもので、米EETimes誌の「ACE Awards」の中国版。2011年から開始された同アワードは、一般ユーザーによるWeb投票で受賞者を決定する部門と記者によって受賞者が決定される部門がある。

今回、ユーザーのWeb投票で「China ACE Awards 2013」EDAツール部門を受賞したのは、下記4製品。Cadenceは昨年のミックスド・シグナル設計環境「Virtuoso AMS Designer」の受賞に続き2年連続の受賞となった。

・Altium PCB/FPGA統合設計環境「Altium Designer」

・Cadence Design Systems エミュレーター「Palladium XP」

・Mentor Graphics エミュレーター「Veloce」

・Microchip Technology マイクロコントローラ設計環境「MPLAB XC」

以下、主要部門の受賞者一覧。日本製品としてはロームの製品が「Sensor/Analog Signal Conditioning Product of the Year」および「High Performance Components of the Year」の2部門で受賞した。

・Company of the Year - Broadcom

・Most Promising New Technology of the Year - ARM (Corte-A50), NVIDIA (Tegra 3 4-PLUS-1 vSMP) and Texas Instruments (bqTESLA wireless charging development kit) 

・China Fabless of the Year- Allwinner Technology, DIOO Microcircuits and SG Micro

China ACE Awards 2013

不揮発メモリIPコアのKilopassが顧客企業から800万ドルの出資を受ける

2013.03.06
2013年3月5日、アンチヒューズ型の不揮発メモリIPコアを手掛ける米Kilopass Technologyは、同社が顧客企業から800万ドルの出資を受けたことを発表した。

プレスリリース文

発表によるとKilopassに出資したのは、同社のアンチヒューズ型不揮発性メモリIPコア「NVM IP」のライセンシーである大手コンスーマ・エレクトロニクス企業で、Kilopassは、受け入れた資金を技術ロードマップの拡張に充てる計画としている。

今回、出資元の会社名は明らかにされていないが、800万ドルもの出資はKilopassのIP導入による製品開発の成功を暗に物語っていると言える。Kilopassは、ワールドワイドで150社を超えるライセンシーがあり、同社のIPを利用したチップの出荷実績は累計40億個。180nmから20nmまでのCMOSプロセスに対応したIPを取り揃えている。

尚、Kilopassの不揮発メモリIPコアに関する詳細は、KilopassのWeb上の日本語ビデオ・プレゼンテーションで確認できる。

タイトル:「Kilopassの不揮発メモリIPコア:SoCの組込みプログラム用途として」
 
Kilopass Technology

Carbon Design SystemsがARM Cortex-R7 ベース・システム向けの「CPAK」をリリース

2013.03.06
2013年3月5日、ESLソリューションを手掛ける米Carbon Design Systemsは、ARM Cortex-R7 ベース・システム向けのバーチャル・プラットフォーム・キット「Carbon Performance Analysis Kit (CPAK) 」のリリースを発表した。

プレスリリース文

「CPAK」は、SoCやSoCを構成するIPのパフォーマンス解析のためのバーチャル・プラットフォーム・キットで、短時間で環境を立ち上げ、Carbonの提供するESLツール「Carbon SoC Designer」上で利用する事ができる。

既にARM向けの「CPAK」としては、ARM Cortex-A9、A15、A7、およびCortex-A15とCortex-A7で実現する「big.LITTLE」技術のサブシステム向けのキットが用意されており、今回新たにARM Cortex-R7向けの「CPAK」が用意された。

ARM Cortex-R7向けの「CPAK」には、Cortex-R7プロセッサ・コア・モデル、PL301(AXIバス)モデル、PL011(UART)モデルで構成される仮想ハードウェア環境と解析/デバッグ用のソフトがパッケージされており、ユーザーはこれをカスタマイズして利用する事が可能。各種モデルは全てARMの提供するRTLコードから作られたものとして100%の精度が保証されている。

既にCarbonは、同社のWebポータル「IP-Exchange」上でARM Cortex-R7向けの「CPAK」を提供中で、ARMプロセッサ向け以外にもCadence DDR3メモリ・コントローラ向けの「CPAK」など、約30種類の「CPAK」を提供している。

カーボン・デザイン・システムズ・ジャパン株式会社

13年1月の世界半導体市場は前年比3.8%増、前月比2.8%減の240億ドル

2013.03.05
2013年3月4日、米SIA(Semiconductor Industry Association)は、2013年1月の世界半導体売上(3ヶ月移動平均)を発表した。

プレスリリース文

SIAの報告によると、2013年1月の世界半導体売上は前年同月比3.8%増、前月比2.8%減の240.5億ドルで昨年11月より3ヶ月連続で前年売上を上回った。

2013年1月の売上を地域別でみると、前月同様に北米市場とアジア市場が好調で、北米が前年比10.5%増の47.7億ドルで過去10年間で最高となる売上を達成、アジアは前年比7.8%増の136.2億ドルだった。これに反して日本と欧州は相変わらずふるわず、日本は前年比12.3%減、欧州は前年比4.9%減だった。日本市場は昨年8月から6ヶ月連続で売上前年割れとなっている。

SIA2013-1-1.jpg
SIA2013-1-2.jpg
SIA

Aldecの無料オンライン・トレーニングにアサーションのトレーニングを追加

2013.03.01
2013年2月25日、論理シミュレータ他、各種ASIC/FPGA設計ツールを手掛ける米Aldecは、無償のオンライン・トレーニング・ポータル「Fast Track ONLINE」の新たなトレーニング・プログラム追加を発表した。

プレスリリース文

先日立ち上げたばかりのAldecのオンライン・トレーニング・ポータル「Fast Track ONLINE」に、今回新たに追加されたのは、アサーションのトーレーニング・プログラムで、アサーション検証に関する用語と概念を時相論理 (アサーションの数学的基礎理論) をテーマにする1つのモジュールの中で分かりやすく解説。VHDL (PSL) とSystemVerilog (SVA) を並列に示したアサーションとカバーの実例が用意されているという。

このトレーニング・プログラムは、Aldecのオンライン・トレーニングポータル「Fast Track ONLINE」に登録すれば誰でも無料で利用可能。同サイトには「Fast Track to UVM ONLINE」という名称の検証メソドロジ「UVM」のトレーニング・プログラムも用意されている。

※関連ニュース:Aldecがオンライン・トレーニング・ポータルを立ち上げ無償UVMトレーニングを提供開始
「Fast Track ONLINE」
アルデック・ジャパン株式会社

EDA Top3 売上推移(2009年7月-2013年1月)

2013.03.01
EDA上位3社Cadence,Mentor Graphics,Synopsysの売上推移グラフです。

EDATOP3_2013-01.jpg


※Cadenceは、会計上の四半期を1-3月,4-6月,7-9月,10-11月と設定しているが、グラフ上の数値は、MentorおよびSynopsysの四半期設定である2-4月,5-7月,8-10月,11-1月に合わせている。

直近四半期の各社の売上は以下の通り。

EDATOP3_2013-02.jpg

Mentor売上報告、12年11-13年1月は前年比3.4%増の3億3123万ドルで11四半期連続で前年増

2013.03.01
2013年2月28日、Mentor Graphicsは、2013会計年度第4四半期(2012年11月-2013年1月)の売上を報告した。

プレスリリース文

発表によると、Mentorの2013会計年度Q4の売上は、前年比約3.4%増、前Q3比約23.2%増の3億3123万ドルで、前年比約7.2%増の6148万ドルの純利益を計上した。この結果は前Q3売上報告時点の予測を若干下回ったが、11四半期連続で売上前年比増を達成した。(※GAAP基準による会計結果)

最終的にMentorの2013会計年度の売上合計は、前年比7.3%増の10億8872万ドルで過去最高、純利益は前年比66%増の1億3863万ドルだった。で

Mentorは、次期2014会計年度Q1(13年2-4月)の売上を2億2500万ドル、2014会計年度の売上合計を11億5500万ドルと予測しており、更なる成長を見込んでいる。

MENT2013Q4.jpg

Mentor Graphics株価推移
メンター・グラフィックス・ジャパン株式会社

Mentorが新製品のキャラクタライゼーション・ツールとライブラリ解析ツールを発表

2013.03.01
2013年2月28日、Mentor Graphicsは、キャラクタライゼーション・ツール「Kronos Characterizer」とライブラリ解析ツール「Kronos Analyzer」、2種類の新製品を発表した。

プレスリリース文
発表によると新製品「Kronos Characterizer」は、標準セルや複雑なセル、I/Oパッド、カスタムマクロに対応した、高スループットの汎用セルライブラリ・キャラクタライゼーション・ツールで、独自のアルゴリズムと分散処理によって、キャラクタライズ作業を大幅に短縮することが可能。「Kronos Characterizer」の高速な処理は、SPICEシミュレータ「Eldo® Classic」との緊密な統合によって実現されている。

また、「Kronos Characterizer」には、キャラクタライズ中にデータチェックを行い、問題を検出するとリカバリ・メカニズムでモデル結果とシミュレーションをピンポイントで特定する機能が備えられており、TATを大幅に短縮可能。分散処理機能と合わせたその効率化により、従来数週間要する作業を数日に短縮することができるという。

もう一つの新製品「Kronos Analyzer」は、ライブラリの解析/検証ツールで、セルライブラリの性能、面積、電力などを比較検証することが可能。独自のライブラリ開発にも社外ライブラリの認証も利用可能で、あらゆるセル・キャラクタライゼーション・ソリューションと併用できるという。

メンター・グラフィックス・ジャパン株式会社

ARMとSynopsysが共同で20nmプロセスでMali GPUをテープアウト

2013.02.28
2013年2月27日、ARMとSynopsysは、ARMの「Mali GPU」の性能最適化に関する協業について発表した。

プレスリリース文

発表によるとARMとSynopsysの両社は、20nm以降のプロセス・テクノロジでARMのGPU「Mali」の性能を最適化するために協業。ダブル・パターニング対応のSynopsysのGalaxyデザイン・プラットフォームとARM Artisanフィジカル IPを用いて、20nmプロセス・テクノロジで「ARM Mali-T658」のテープアウトに成功したという。

今回のテープアウトで使用されたSynopsysの主なツールは以下の通り。

?論理合成ツール Design Compiler
?フォーマル検証ツール Formality
?テスト容易化設計ツール DFTMAX、TetraMAX
?フィジカル設計ツール IC Compiler
?RC抽出ツール IC Compiler
?タイミング解析サインオフ・ツール PrimeTime
?フィジカル検証ツール IC Validator

尚、一部海外メディアの報道によると、ARMの「Mali GPU」の2013年の出荷目標は前年比約60%増の2億4000万個だという。

日本シノプシス合同会社

OneSpin SolutionsがOasys Design Systemsに等価性検証ツールの技術をOEM供給

2013.02.27
2013年2月26日、フォーマル検証ツールを手掛けるOneSpin Solutionsと、RTLフジカル合成ツールを手掛けるOasys Design Systemsは、両社間のOEM提携について発表した。

プレスリリース文

発表によると、OneSpin Solutionsが同社の手掛ける機能等価性検証ツール「OneSpin 360™ EC」の技術をOasys Design Systemsにライセンス供給。Oasys Desin Systemsは同技術を自社のRTL合成ツール「RealTime Designer」にインテグレーションするという。

同インテグレーションに関する詳細は、追ってOasys Desin Systemsからアナウンスがあるようだが、同社は今年1月にRTL最適化の新製品「RealTime Explorer」をリリースしていることから、最適化前後のRTLをの機能等価性の検証に「OneSpin 360™ EC」の技術を用いるのではないかと思われる。

※関連ニュース:Oasys Design SystemsがRTL最適化の新製品「RealTime Explorer」を発表
Oasys Design Systems

OneSpin Solutions

IEEE 1800 SystemVerilogの改訂版、IEEEが無償のLRMをリリース

2013.02.27
2013年2月26日、IEEEの標準化委員会IEEE Standards Association (IEEE-SA) は、IEEE 1800 SystemVerilogの改訂版であるIEEE 1800-2012 SystemVerilogの承認を発表した。

プレスリリース文

今回IEEEに承認されたIEEE 1800-2012 SystemVerilogのLRMは、IEEE GET Programを通じて誰でも無償でダウンロードする事が可能。同企画はSystemVerilog言語の仕様策定を推進しているAccelleraがスポンサーとなっている。

IEEE 1800 SystemVerilogの改訂は今回が2回目で最新のIEEE 1800-2012が3rdバージョンとなる。

尚、いち早く検証ツール「Questa」によるIEEE 1800-2012のサポートを発表したMentorの発表によると、今回のSystemVerilogの改訂においては、カバーグループ仕様の追加やジェネリック・インターコネクトの強化などが実施されているという。

※関連ニュース:Mentorの機能検証プラットフォーム「Questa」が大きく性能向上、標準規格のサポートも強化
Accellera Systems Initiative

AMSチップの韓国MagnaChipがSynopsysのポストOPC検証ツール「Proteus LRC」を採用

2013.02.27
2013年2月26日、Synopsysは、韓国のアナログ/ミックスド・シグナル・チップ・ベンダMagnaChipが同社のポストOPC検証ツール「Proteus LRC」を採用したことを発表した。

プレスリリース文

発表によるとMagnaChipは、マスク合成フローにおけるホット・スポットの検出に「Proteus LRC」を使用。マスク設計の初期段階でホット・スポットを正確に検出することで、歩留まり向上に役立てているとの事。MagnaChipの担当者は「Proteus LRC」の事をコスト効率の高いリソグラフィ検証ソリューションであるとコメントしている。

日本シノプシス合同会社

EVメーカーのTeslaがMentorの自動車電気プラットフォーム設計ツール「Capital」を採用

2013.02.27
2013年2月26日、Mentor Graphicsは、EVメーカーのTesla Motorsが同社の自動車電気プラットフォーム設計ツール「Capital」を採用した事を発表した。

プレスリリース文

発表によるとTeslaは、同社の12V電気システム設計の標準ツールセットとしてMentorの「Capital」を採用。「Capital」は既に販売されているTeslaの5ドアサルーン「モデルS」の開発に使用されており、高度な電気システムの開発に貢献したという。

Teslaは「Capital」の採用理由として電気システムのコンフィギュレーション管理機能を挙げており、担当者は「主要サプライヤとのデータ交換の課題を克服できた。」とコメントを残している。

Mentorの「Capital」は、2011年6月にリリースされた自動車電気プラットフォーム設計ツールで、Mentorが長年提供しているハーネス設計ツール「CHS」に加え、電気プラットフォームとしての製品定義に対応する「Capital Level Manager」、顧客の量産カスタマイズに対応する「Capital ModularXC」、エンドユーザーの保守・整備に対応する「Capital Publisher」の3製品で構成されている。

メンター・グラフィックス・ジャパン株式会社

Huaweiの半導体部門子会社HiSiliconがTensilicaの全てのIPコアのライセンスを取得

2013.02.27
2013年2月25日、Tensilicaは、Huaweiとの戦略的提携の拡大を発表した。

プレスリリース文
発表によるとTensilicaとHuaweiは既に4年以上に渡って協業による製品開発を進めており、その実績も踏まえ今回両社の提携を拡大。具体的には、Huaweiの半導体部門子会社であるHiSiliconがTensilicaのDPU(Dataplane Processor Unit)の採用を拡大し、コンフィギュラブル・プロセッサ「Xtensa」、オーディオ・ボイス処理の「HiFi DSP」、ベースバンド・エンジン「ConnX」などTensilicaの全てのIPコアのライセンスを取得するという。

テンシリカ株式会社

ARMの「big.Little技術」、今年新たに富士通ほか5社がモバイル・チップで採用

2013.02.27
2013年2月26日、ARMは同社の「big.Littleプロセッシング技術」の採用状況について発表した。

プレスリリース文

ARMの「big.Littleプロセッシング技術」はハイ・パフォーマンスの大きなコアとローパワーの小さなコアを組み合わせて利用する高性能化と低消費電力化のための技術で、Samsungやルネサス モバイルが既に同技術を採用したモバイル・チップを発表している。

ARMによると同「big.LITTLEプロセッシング技術」の採用が加速しており、今年は新たに5社が同技術を用いたモバイル・チップを実現する予定。その中にはCSR、富士通、MediaTekなどが含まれているという。

アーム株式会社

AlteraとIntelがFPGAの製造で合意、AlteraがIntelのファブで14nm FPGAを実現

2013.02.27
2013年2月26日、AlteraとIntelはFPGA製造に関する両社の契約締結を発表した。

プレスリリース文

発表によるとAlteraは、トライゲート・トランジスター技術を用いたIntelの14nmプロセスで次世代の20nm FPGAの更に次の世代のFPGAを製造。Intelはファウンドリとして顧客Alteraのニーズに対応する。

Alteraはこれまで競合のXilinxと同じTSMCのファブでFPGAを製造してきたが、今回のIntelとの契約を機にその戦略を大きく切り替える。

Intelは2014年に14nmプロセスでの自社製品量産を計画しているのに対し、TSMCは2014に16nmプロセスの量産を計画しており、これまで同じファウンドリを用いて競争を繰り広げてきたAlteraとXilinxの2大FPGAベンダは、今後は異なるファウンドリ、異なる製造プロセスで製品を競い合う事になる。

Intelは、既にAchronix、Tabulaといった新興FPGAベンダーのチップ製造を請け負っているが、Alteraクラスの大手向けのファウンドリ事業は今回が初。Intelがファウンドリ・ビジネスを拡大する可能性は以前から業界で囁かれており、Appleが自社プロセッサの製造をIntelに委託するかもしれないという噂話も出ていた。

日本アルテラ株式会社

インテル株式会社

Mentorの機能検証プラットフォーム「Questa」が大きく性能向上、標準規格のサポートも強化

2013.02.22
2013年2月20日、Mentor Graphicsは、同社の機能検証プラットフォーム「Questa」のバージョンアップを発表した。

プレスリリース文

発表によると、最新の「Questa 10.2」では、検証エンジンの改良によるシミュレーション速度の向上が図られ、SystemVerilog、OVM、UVMを用いた設計で最大3倍、Questaマルチコア・シミュレーションで最大5倍、UPFを使用したローパワー・シミュレーションで最大6倍のシミュレーション性能改善を実現。また、デバッグ機能も高められ、UVMを用いた検証の効率化を図る専用のUVMウィンドウが用意された。

更に高速化という面では、カバレッジ・クロージャ、結果解析、リグレッション管理においても処理速度の向上が図られ、一連の検証作業全体のスループットが改善された。

また、各種標準言語、標準フォーマットのサポートも拡張され、今回のバージョンアップでは以下のサポートが実現されている。

・VHDL-2008の完全サポート
・SystemVerilog/1800-2012のサポート
・UPF(Unified Power Format)のサポート拡大
・UCIS(Unified Coverage Interoperability Standard)のサポート

メンター・グラフィックス・ジャパン株式会社

Synopsys売上報告、12年11月-13年1月は前年比11.6%増の4億7510万ドルで更に記録更新

2013.02.21
2013年2月20日、Synopsysは、2013会計年度第1四半期(12年11月-13年1月)の売上を報告した。

プレスリリース文

発表によると、Synopsysの2013会計年度Q1(12年11月-13年1月)の売上は、前年同時期比約11.6%増、前期12年Q4比約4.6%増の4億7510万ドル。純利益は前年同時期比約23%増の6990万ドルだった。(※GAAP基準による会計結果)

この売上実績は前四半期売上報告時の予測に則したもので、更新し続けている四半期売上記録をまた更新した。Synopsysの売上実績前年超えは今回発表した2013年Q1で10四半期連続となる。

Synopsysは、次期2013会計年度Q2(13年2-4月)の売上を4億9000-5億ドルと予測。2013会計年度の売上予測については19億5500-7500万ドルと上方修正している。

SNPS-2013Q1.jpg

Synopsys株価推移

日本シノプシス合同会社

Impulseが2つのFPGAデザイン・コンテストに高位合成ツールのライセンスを無償提供

2013.02.21
2013年2月21日、FPGA向け高位合成ツールを手掛ける米Impulse Accelerated Technologies社は、同社の高位合成ツール「C/CoDeveloper」のライセンスをFPGAデザイン・コンテストの参加者に提供する事を発表した。

プレスリリース文

今回、Impulseがツールを提供するのは、「ICFPT2013 Design Competition」および「IEICE RECONF DESIGN CONTEST 2013」の2つのFPGAデザイン・コンテストで、共に「Blokus Duo」という対戦型のボードゲームで設計したFPGAを競うというもの。

「ICFPT2013 Design Competition」は、FPGAを含む書き換え可能LSIに関する研究・技術に関する国際会議で開催される国際コンテストで、「IEICE RECONF DESIGN CONTEST 2013」は、「ICFPT2013 Design Competition」のルールに準拠して国内で開催される。同大会に対してはImpulse社の高位合成ツール「C/CoDeveloper」の代理店であるアイリンク合同会社を通じて、無償で「C/CoDeveloper」のライセンスが提供される。

「C/CoDeveloper」は、AlteraおよびXilinxのFPGAをターゲットとした高位合成ツールで2003年より市販ツールとして販売されてており、低価格で手軽な高位合成ツールとして国内ではXilinxのFPGAユーザーを中心に利用者を増やしていた。最近はBioinfomatic、HFT(High Frequency Tranding)、Low-Lantency分野などのHPC(high performance computing)への取り組みを強めている。

Impulse Accelerated Technologies

アイリンク合同会社

Mentorが商用UMLエディタのソースコードをオープンソース化

2013.02.20
2013年2月19日、Mentor Graphicsは、同社の提供する商用UMLエディタのソースコードをオープンソース化して無償公開した事を発表した。

プレスリリース文

Mentorによると今回オープンソース化により無償公開したのは、同社のUMLベースの組込み開発環境「BridgePoint」の一部として提供してきた「xtUMLエディタ」で、同エディタは標準UMLに加えてC、C++、SystemCなどへ変換可能な拡張UML「xtUML」を扱うことが可能。昨年4月の時点で同ツールのオープンソース化がアナウンスされていたが、今回正式に無償公開へと至った。

公開されたxtUMLエディタ一式(xtUMLメタモデル、BridgePointのxtUMLモデル、Eclipse環境へのインタフェースとなるJavaソース)は、xtUML.orgから無償でダウンロードすることが可能。同エディタで開発したモデルは、「BridgePoint」を用いてシミュレーションや多言語モデルへの変換を行うことができる。

メンター・グラフィックス・ジャパン株式会社

ARMとSTマイクロが組んでMathworksのEmbedded Coderによるコード生成をサポート

2013.02.20
2013年2月19日、ARMとSTMicroelectronicsは、ARM Cortex-MベースのSTM32マイクロ・コントローラーの開発向けにMathworksの「Embedded Coder」をサポートした事を発表した。

プレスリリース文

Mathworksの「Embedded Coder」は、Mathworksのアルゴリズム開発環境Matlab/Simulinkから組込み用のC/C++コードを自動生成するツールで、今回ARMとSTMicroelectronicsは共同で同ツールをSTMicroelectronicsのSTM32マイクロ・コントローラーの開発向けのツールとしてサポートした。

これにより「Embedded Coder」を用いてSTM32の評価ボードならびにARMのデバッガ「Keil」上で動作するSTM32ターゲットのCコードを自動生成可能となり、ソフトウェア開発者はMatlab/Simulink環境で開発したアルゴリズムからSTM32マイクロ・コントローラー向けのコードを容易に開発できるようになる。

尚、「Embedded Coder」をサポートしたARMのデバッガ「Keil」は、Simulinkとのダイレクトなインタフェースも備えているとの事。同ツールは現在特定顧客向けにベータ版が出荷されており、今年4月に一般リリースされる予定となっている。

アーム株式会社
STMicroelectronics
MathWorks

Samsung Electronicsの社長がCadenceの取締役に就任

2013.02.20
2013年2月12日、Cadenceは、Samsung Electronicsの社長兼CSO(chief strategy officer )のYoung K. Sohn氏を同社の取締役に選任した事を発表した。

プレスリリース文

米ペンシルベニア大学で電子工学を学んだ後に、米MITで経営学の修士を取得。Intelに入社して以降、Quantum、Oak Technology、Agilentとエレクトロニクス関連企業にて経営の要職を歴任。現在Samsung Electronicsの社長兼CSOの他に、Inphi CorporationのCEO、ARMおよびCymerの取締役を務めている。

youngsohn.jpg

※画像の人物がYoung K. Sohn氏、WebサイトEventbrite掲載の画像

Cadenceによると、今回Young K. Sohn氏の取締役就任と合わせて、同社創業時からの取締役であるDonald L. Lucas氏が役員を辞任した。

日本ケイデンス・デザイン・システムズ社

SystemC Japan 2013 事例講演公募のお知らせ

2013.02.14
2013年6月21日(金)、今年も業界標準のシステム記述言語、IEEE 1666 SystemCにフォーカスした技術セミナー「SystemC Japan 2013」を開催致します。

「SystemC Japan 2013」では、昨年に引き続きSystemCに関する事例講演を公募致しますので、ご興味お持ちの方はこちらのページを御覧頂き、応募をご検討ください。

SystemC Japan 2013 事務局

SystemC Japan オフィシャルサイト

Tanner EDAのAMS設計環境とIncentiaの論理合成/STAがインテグレーション

2013.02.13
2013年2月12日、アナログ/ミックスドシグナル設計統合環境およびMEMS向け設計環境を手掛ける、Tanner Research社のEDA事業部Tanner EDAと、タイミング解析ツールを中心としたEDAソリューションを手掛ける米Incentia Design Systemsは、両社製品のインテグレーションを発表した。

プレスリリース文

発表によると、今回Tanner EDAのアナログ/ミックスド・シグナル設計環境「HiPer Silicon AMS」にIncentiaの論理合成ツール「DesignCraft」およびタイミング解析ツール「TimeCraft」が取り込まれ、これまで「HiPer Silicon AMS」には搭載されていなかっったデジタル設計機能を実現。両社のコラボレーションにより、アナログ設計とデジタル設計環境が密接に統合された低価格なアナログ/ミックスド・シグナル設計環境が確立された。

「HiPer Silicon AMS」に組み込まれたIncentiaのツールは、スタンドアロン製品として販売しているものと同一で、「HiPer Silicon AMS」を用いたワークフロー上でシームレスにアクセス出来るという。

タナーリーサーチジャパン株式会社
Incentia Design Systems

Tela Innovationsが特許侵害でスマホ関連10社を提訴

2013.02.13
2013年2月11日、リソグラフィ制約を考慮したフィジカル最適化ソリューションを手掛ける米Tela Innovationsは、同社の特許を侵害しているとしてスマートフォン関連の企業10社を提訴した。

プレスリリース文

発表によるとTela Innovationsは同社の保有する7つの特許を侵害したとして、下記10社を米国国際貿易委員会(USITC)に提訴。特許を侵害している電子機器製品の輸入差し止めを求めた。Tela Innovationsは合わせてデラウェアのアメリカ地方裁判所にも特許侵害を理由に、10社に対して輸入差し止めと損害賠償を求める訴えを起こしたという。

?HTC Corporation
?HTC America, Inc., 
?LG Electronics, Inc., 
?LG Electronics U.S.A., Inc., 
?LG Electronics MobileComm U.S.A., Inc., 
?Motorola Mobility LLC, 
?Nokia Corporation
?Nokia, Inc.
?Pantech Co., Ltd.
?Pantech Wireless, Inc. 

Tela Innovationsは、リソグラフィ制約を考慮した先端プロセス向けのスタンダード・セル・ライブラリやBlazeDFM社の買収によって獲得したパワー最適化ソリューションを提供している。

Tela Innovations

SynopsysがARMv8 Fast Modelを用いたVirtualizer Development Kitを4月から提供開始

2013.02.12
2013年2月11日、Synopsysは、同社のESLソリューションをARMv8 プロセッサ向けに拡張した事を発表した。

プレスリリース文

発表によるとSynopsysは、ARMとのコラボレーションにより、最新のARMv8プロセッサ向けのソフトウェア開発キット「VDK(Virtualizer Development Kits)」を用意。今年4月から提供を開始することにした。

「VDK」は、Synopsysのバーチャル・プロトタイピング・ツール「Virtualizer」で使用するソフトウェア開発用の仮想ハードウェア環境で、実機ボードが用意される前の段階からOSのブートやファムウェアやドライバの開発が可能。SynopsysはARMから提供される「Fast Model」と呼ばれるARMv8 プロセッサを抽象化したモデルを利用する事で、ARMv8プロセッサ向けの「VDK」を実現。同環境があれば実機ボード完成の1年前からARMv8 プロセッサ向けのソフト開発を開始できるとしている。

Synopsysの「VDK」は昨年発表されたESLソリューションで、既にARMv7 プロセッサ向けの「VDK」がリリースされているほか、ルネサス製マイコン向けの「VDK」開発も進められている。今回、ARMv8プロセッサ向けの「VDK」が用意されることで、先端SoCの開発チームは、「Cortex-A57」および「Cortex-A53」によるbig.LITTLE技術に対応したソフトウェアの先行開発が可能となる。

日本シノプシス合同会社

フランスの組込み屋REFLEX CES社がVirtex-7ボードでASICプロトタイピング市場に参入

2013.02.12
2013年2月11日、組込みシステム開発等を手掛けるフランスのエンジニアリング会社REFLEX CES社がASICプロトタイピング市場への参入を発表した。

プレスリリース文

REFLEX CESは、高速ボード設計のほかソフトウェア開発やメカニカル設計も手掛けるフランスの組込みエンジニアリング会社で、ALTERAおよびFreeScaleの公式デザイン・ハウスに認定されている。Web上の情報によると従業員数は30名で、2010年当時の売上見込みは600万ユーロ、そのビジネスの80%近くが軍事・国防向けの会社のようだ。

発表によると同社は「FPP25」と呼ぶXilinxのVirtex-7を3個搭載したプロトタイピング・ボードを発表。同製品にはVirtex-7の「XC7V2000T」が2個と「XC7VX485T」が1個搭載されており、各FPGAで最大8GBのDDR3 SODIMMメモリを利用可能。ボード単体でFPGA最大2500万ASICゲート規模のデザインに対応可能で最大5枚のボードを接続すれば1億2500万ASICゲートのデザインに対応できる。インタフェースはGigabit Ethernet、USB、PCIe(Gen2)4レーンが備えられており、各FPGA間は最大396のLVDS信号、転送速度1.25Gbpsで通信できる。

ボードには「Wasga」という同じくフランスのEDAベンダFlexras社と共同開発したインプリメント・ツールが付属するほか、オプションで「AVA (Advanced Verification Acceleration) software」というやはりフランスのEDAベンダADACSYS社が開発したFPGA検証・デバッグ用のツールが用意される。

Xilinxの28nmFPGA「Virtex-7」の登場により、ASICプロトタイピング市場は米Aldecや独ProDesignなど複数の企業が参入し盛り上がりを見せているが、そんな中、後発組として登場したREFLEX CESのソリューションは、業界最速かつ低コストという謳い文句で市場の開拓を狙うようだ。

REFLEX01.jpg
REFLEX02.jpg

※画像はREFLEX CES社のWeb上のブローシャより抜粋

REFLEX CES
Flexras
ADACSYS

Calyptoの高位合成ツールとReal IntentのLintツールがインテグレーション

2013.02.12
2013年2月11日、フォーマル検証、パワー最適化、高位合成など各種EDA製品を展開する米Calypto Design Systemsと、フォーマル検証技術をベースとした検証ツールを手掛ける米Real Intent社は、両社製品のインテグレーションを発表した。

プレスリリース文

発表によると今回のインテグレーションは、Calyptoの高位合成ツール「Catapult」とReal IntentのLintツール「Ascent」によるもので、「Catapult」で合成したRTLを「Ascent」でリント・チェックすることでバグを無くし、RTLからのインプリメントの信頼性を高めるというもの。両社は高位合成ツールと高速なLintツールの組み合わせにより、高速かつ高品質なインプリメント・フローを実現できるとしている。

カリプト・デザイン・システムズ株式会社
Real Intent

Synopsysの回路シミュレータ「FineSim」、メモリ設計向けに性能と容量強化

2013.02.12
2013年2月7日、Synopsysは、同社の回路シミュレータ「FineSim」のバージョンアップを発表した。

プレスリリース文

回路シミュレータ「FineSim」は、Synopsysが昨年のMagma買収によって獲得した製品で、元はMagmaが買収したACAD社が開発した製品。複数CPUの分散処理によるフルSPICE精度の高速シミュレーションをうりにしており、単一のシミュレーション・エンジンで高速SPICEと高精度SPICEの切り替えシミュレーションが可能。その高速性と利便性から国内外で採用実績が多数あり、Magmaでは「FineSim」が売上の一つの大きな柱となっていた。

発表によると、今回メモリ設計向けにRC寄生のリダクションや複雑なオンチップ・パワーネットワーク・シミュレーションを実現する新たなアルゴリズムを「FineSim」に実装。これによりメモリ設計におけるポスト・レイアウト・シミュレーションの速度が既存バージョンよりも2倍高速となり、デザイン容量も倍増した。また、最新の「FineSim」には、Synopsysのサインオフ・シミュレータ「HSPICE」のモデリング・エンジンが組み込まれ、「FineSim」によるシミュレーション結果が「HSPICE」の結果と一致する事が保証されたという。

日本シノプシス合同会社

CadenceがインドのIPベンダCosmic Circuitsを買収へ、MIPI/USB PHY IPを獲得

2013.02.12
2013年2月8日、Cadenceは、インドのIPベンダCosmic Circuits社の買収を発表した。

プレスリリース文

発表によるとCadenceによるCosmic Circuitsの買収は、今後30日から60日の間に完了する予定。その取引条件などの詳細は明らかにされていない。

Cosmic Circuitsは2005年設立のIPベンダで、USB、MIPI、Audio、WIFIなどモバイル機器向けのアナログIPを主力製品としている。中でもインタフェース PHY IPの展開に注力しており、MIPI D-PHYおよびM-PHYについてはTSMCの85nmから28nmまで豊富なシリコン実績を持っており、既に最先端プロセスの20nmとFinFETに対応するIPも開発しているとの事。2010年、2012年と2年連続でTSMCの「Analog/Mixed Signal IP Partner of the Year Award」を受賞している。発表によると2012年の同社IP搭載チップの出荷数は5000万個以上、現在世界で75社の顧客を持っているという。

Cadenceは今回のCosmic Circuitsの買収によりIPポートフォリオを更に拡大、MIPI D-PHYおよびM-PHY、USB 2.0およびUSB 3.0 PHY IPなど、競合のSynopsysに対抗可能な市場ニーズの高いIP製品を手に入れる事になる。

日本ケイデンス・デザイン・システムズ社

GLOBALFOUNDRIESとSamsungが20/14nmプロセスでCadenceの「Virtuoso Advanced Node」をサポート

2013.02.06
2013年2月5日、Cadenceは、同社最新のカスタム/アナログ設計ツール「Virtuoso Advanced Node」がGLOBALFOUNDRIESとSamsung両社の20nmおよび14nmプロセスでサポートされたことを発表した。

プレスリリース文

発表によるとGLOBALFOUNDRIESは20nmおよび14nmプロセスで、Samsungは14nmプロセスでそれぞれCadenceの「Virtuoso Advanced Node」をサポート。両社は共に「Virtuoso Advanced Node」向けにSKILLベースのPDKの提供を開始した。

Cadenceの「Virtuoso Advanced Node」は、20nm以降の先端プロセスをターゲットとしたカスタム/アナログ設計ツールで、つい先日製品リリースが発表されたばかり。Cadenceは同社の大きな強みであるカスタム/アナログ設計ツールで業界を先行すべく、GLOBALFOUNDRIESとSamsungの両社と協力してSKILLベースのPDKの供給を後押しした格好だ。

PDKに関しては、ツール環境に依存しないオープンなPDK仕様「IPL1.0/2.0」を普及させるべく、TSMCを中心とした「IPL Alliance」が活動しており、先日UMCが同団体への加盟を発表したが、GLOBALFOUNDRIESとSamsungの両社は「IPL Alliance」には加盟していない

※関連ニュース
Cadenceが20nm以降の先端プロセスに特化した「Virtuoso Advanced Node」を発表

日本ケイデンス・デザイン・システムズ社

ARM売上報告、12年10-12月は前年比21%増の2億6280万ドル、12四半期連続で前年増

2013.02.06
2013年2月5日、ARMは、2012会計年度第4四半期(2012年10-12月)の売上を報告した。

プレスリリース文
ARMの2012会計年度Q4の売上は、前年比21%増、前期比23.3%増の2億6280万ドルで過去最高、営業利益は前年比約16%増の約1億2800万ドルだった。売上の内訳を見るとライセンスの売上が前年比約27.5%増の1億600万ドル、ロイヤリティの売上が前年比約19%増の1億3680万ドルだった。ARMは2010年Q1より四半期売上の前年増を継続しており、今期Q4で12四半期連続で売上前年増となった。

最終的にARMの2012年会計年度の売上合計は前年比16%増の9億1310万ドル、営業利益は前年比約20%増の4億3760万ドルだった。

ARMはこのQ4で前Q3を上回る計36件のプロセッサ・ライセンスを獲得。その内最も多かったのがCortex-Aプロセッサのライセンスで15件、その他にサーバーおよびネットワーク・アプリケーション向けのARMv8アーキテクチャー・ライセンス2件、ARMv8プロセッサー・ライセンスが6件含まれていた。 GPU Maliのライセンスは前Q3の倍以上の7件、フィジカルIPのPOP(Processor Optimisation Packs)の契約は5件だった。

ARM2012Q4-01.jpg
※画像はARM発表資料より抜粋


2012年Q4で出荷されたARM搭載チップの総数は約25億個で年間合計は約86億個。うち半数はスマートフォンなどのモバイルデバイス向けだった。Q4で出荷されたプロセッサの個数を種類別で見ると、Cortexシリーズの出荷数が全体の40%となり前期Q3の34%を更に上回った。また、14nm FinFETプロセスの契約が2件、40nmプロセスの契約が1件増え、半導体ファウンドリとの各種プロセス・ノード別のプラットフォーム・ライセンスの総数は計99件となった。

ARMは次期2013年Q1も引き続き好調を維持し、売上は2億5000万ドル前後になると予測している。

ARM2012Q4-02.jpg
※画像はARM発表資料より抜粋

ARM2012Q4-03.jpg

アーム株価推移

アーム株式会社

ESLおよびパワー最適化のCalyptoに業界ベテランの新社長

2013.02.05
2013年2月4日、シーケンシャル・アナリシス技術でEDA製品を展開する米Calypto Design Systemsは、同社の社長兼CEOにSanjiv Kaul氏が就任したことを発表した。

プレスリリース文

Calyptoの発表によると、新社長兼CEOのSanjiv Kaul氏はEDA業界30年のベテランで、Synopsys, Frontline, Cadence/Valid Logic,Daisyに在籍していた経歴がある。Synopsys在籍中は、シニアVP、GM、マーケティング・ディレクターなどを歴任し、「Physical Compiler」、「PrimeTime」、「Formality」などの製品展開に関わってきたという。Synopsys退社後は、複数のEDAベンダにおいて、創立者、会長、CEO、投資家、取締役など様々な立場で経営に関与していたようで、SemiWiki上の記事によると、Oasys Design Systemsのマーケティングにも関わっていたようだ。

カリプト・デザイン・システムズ株式会社

Aldecがオンライン・トレーニング・ポータルを立ち上げ無償UVMトレーニングを提供開始

2013.02.05
2013年2月4日、論理シミュレータ他、各種ASIC/FPGA設計ツールを手掛ける米Aldecは、無償のオンライン・トレーニング・ポータル「Fast Track ONLINE」の立ち上げを発表した。

プレスリリース文

Aldecによると、「Fast Track ONLINE」は無償で利用可能なオンラインのトレーニング・プログラムで、エンジニア個人が自分のペースで検証技術を学ぶことが可能。トレーニングはモジュール化された形で用意されており、自らの学習結果をチェックする仕組みも備えられている。

「Fast Track ONLINE」上で最初に提供されるのは、「Fast Track to UVM ONLINE」という名称の検証メソドロジ「UVM」のトレーニング・コースで、Aldecがオンサイト・トレーニングで実施しているカリキュラムと同一のもの。エンジニアはAldecのWebサイトに登録するだけで、このトレーニング・コースに無償でアクセスできる。

「Fast Track ONLINE」
アルデック・ジャパン株式会社

2012年世界半導体市場は前年比2.7%減の2916億ドル。12月売上は前年比3.8%増。

2013.02.05
2013年2月4日、米SIA(Semiconductor Industry Association)は、2012年12月の世界半導体売上(3ヶ月移動平均)を発表した。

プレスリリース文

SIAの報告によると、2012年12月の世界半導体売上は前年同月比3.8%増、前月比3.0%減の247.4億ドルで11月の売上は下回ったものの、2ヶ月連続で前年売上を上回った。

2012年1月から12月の売上合計は、2916億ドルで前年比2.7%減。製品分類別に見ると、下記3分野が売上上昇率TOP3だった。

・ロジック 817億ドル 前年比3.7%増
・オプトエレクトロニクス 262億ドル 前年比13.4%増
・NANDフラッシュ 254億ドル 前年比4.1%増

2012年12月の売上を地域別でみると、北米が前年比13.4%増、アジアが前年比6.7%増と11月に続いて好調を示したが、日本と欧州はそれぞれ前年比11.2%減、5.5%減だった。

WSTSは2013年の世界半導体市場を4.5%増と予測しているが、日本市場に関しては世界市場の成長率を下回る2.7%増と予測している。
※WSTS:World Semiconductor Trade Statistics

SIA2012-12-1.jpg
SIA2012-12-2.jpg
SIA2012-12-3.jpg

SIA

Imagination TechnologiesがSynopsysのフォーマル検証ソリューション「HECTOR」を実用

2013.02.01
2013年1月30日、Synopsysは、同社のC-to-RTLフォーマル検証ソリューション「HECTOR」をImagination Technologiesが導入した事を発表した。

プレスリリース文

発表によるとImagination Technologiesは、同社のグラフィックスIPコア「PowerVR」ファミリの開発でSynopsysのフォーマル検証ソリューション「HECTOR」を利用。これは両社の複数年に渡るコラボレーションの結果によるもので、Imagination Technologiesはコーナー・ケースの検証およびデバッグを強化するために、システム・レベルのモデルとRTLとの等価性検証に「HECTOR」を使用しているとの事。

「HECTOR」はこれまで正式な製品として紹介されていなかったが、少なくとも2008年時点でツールとして存在していた様子。システム・レベルのモデルとRTLにおけるアルゴリズムの一貫性の確認をコンセプトに掲げており、C-to-RTLのほかにC-to-C、RTL-to-RTLの等価性検証も可能。言語としてはVerilog, VHDL, SV, C, C++, and SystemCをサポートしている。

hector-graph1.jpg

※画像はSynopsys社Web上の掲載画像


「HECTOR」の特徴は、特許を取得しているコンパイラ技術やモデル技術によるキャパシティと高速処理にあるようで、Imagination Technologiesも数分でC-to-RTLの等価性検証を終えることが出来たとしている。また、「HECTOR」はデザインの自動分割やマルチ・プロセッサもサポートしているようだ。

「HECTOR」と同種のツールとしては、Calypto Design Systemsの「SLEC」ファミリ製品が既に存在しており、プロセッサ・メーカーなどをはじめ一定の実績を残している。

日本シノプシス合同会社

Mentor、シグナル・インテグリティ解析ツール「HyperLynx」を大幅機能強化

2013.02.01
2013年1月31日、Mentor Graphicsは、同社のシグナル・インテグリティ解析ツール「HyperLynx」の最新版を発表した。

プレスリリース文

Mentorによると「HyperLynx」の最新版では数々の機能追加、機能強化が施されているが、新しいトレース・モデリング機能の追加、シミュレーション性能の高速化などが特筆すべき点。最新の「HyperLynx」はフル3D波形解析に加え、エリアフィルを考慮した2.5D平面トレース抽出を行い、抵抗変化/遅延変化をモデル化する事が可能になったほか、シミュレータの強化によりシミュレーション速度が従来製品と比較して平均5倍高速に。合わせてシミュレーション精度も高められた。また、DDRサインオフ検証のための各種機能も改良されたという。

「HyperLynx」の最新版は2013年3月に出荷される予定。

メンター・グラフィックス・ジャパン株式会社

Synopsysがマルチプロトコル対応の「28nm Enterprise 10G PHY IP」をリリース

2013.01.31
2013年1月29日、Synopsysは、同社の設計IP製品群「DesignWare」の新製品として、マルチプロトコル対応の「28nm Enterprise 10G PHY IP」をリリースした事を発表した。

プレスリリース文

Synopsysによると今回発表した「28nm Enterprise 10G PHY IP」は、大型のバックプレーン向けに最適化されており、柔軟な相互接続機能を提供するため、PCI Express 3.0、10GBASE-KR、10GBASE-KX4、1000BASE-KX、CEI-6G-SR、SGM?、QSGM?など標準的な各種インタフェース・プロトコルをサポートしているほか、マルチ・レーン・アーキテクチャにより1レーンあたり1.25Gbpsから10.3Gbpsまでのデータ転送レートをサポートしており、40G/100Gbps Ethernet通信を実現可能。同IPを用いることで、エンタープライズ機器をはじめとする高速通信機能のニーズに対応するSoC設計を容易化できる。

日本シノプシス合同会社

Xilinxが20nm FPGAのマイルストーンを発表、2013年先行顧客にサンプル出荷

2013.01.31
2012年1月30日、Xilinxは、同社の次世代20nm FPGAのマイルストーンを発表した。

プレスリリース文

発表によると、まず設計環境「Vivado」が今年3月に20nm FPGAをサポート。最初の20nm FPGAは、TSMCのプロセスを用いて2013年Q2(4-6月)に製造される予定で、今年中には先行顧客へのサンプル・チップが用意される計画。Xilinxは現在10の先行顧客と20nm FPGAのアーキテクチャ評価とインプリメントに取り組んでいるという。

ザイリンクス株式会社

Cadence売上報告、12年10-12月は前年比約12%増の3億4600万ドル-12四半期連続前年比増

2013.01.31
2013年1月30日、Cadenceは、2012会計年度第4四半期(2012年10-12月)の売上を報告した。

プレスリリース文

Cadenceの2012年Q4売上は、前年同時期比約12.3%増、前期Q3(12年7-9月)と比較して約2%増の3億4600万ドル。純利益は3億1400万ドルで前年を遥かに上回る結果だった。(※GAAP基準による会計結果)

Cadenceはこれで12四半期連続で売上前年比増を記録。最終的に2012会計年度の売上合計は前年比15.3%増の13億2600万ドルで、純利益は前年の6倍強の4億4000万ドルという同社史上過去最高の業績を叩きだした。

同社の社長兼CEOであるLip-Bu Tan氏は、2012年の成功要因として先端プロセス、マルチコア・ベース・デザイン、3DIC、ローパワーおよびミックスド・シグナル・デザインでの技術革新、IPやエミュレーターの成功などを挙げている。

Cadenceは次期2013年Q1の売上を今期実績を更に上回る3億4200-5200万ドル、2013年の年間売上予測を14億500-14億4500ドルと予測している。

CDNS2012Q4.jpg

Cadence株価推移

日本ケイデンス・デザイン・システムズ社

Oasys Design SystemsがTSMC Soft-IP Alliance Programに加盟

2013.01.31
2013年1月29日、業界最速と称する高速RTLフィジカル合成ツールを手掛ける、米Oasys Design Systemsは、「TSMC Soft-IP Alliance Program」への加盟を発表した。

プレスリリース文

「TSMC Soft-IP Alliance Program」は、TSMCのプロセス技術に対するソフトIPの最適化を狙うもので、プログラムに参加するソフトIPベンダに対しTSMCが技術情報を提供し、認証したソフトIPの品質情報を顧客に公開するという枠組み。既にAtrentaがEDAベンダとして同プログラムに参加しており、同社の「SpyGlass」をベースとしたソフトIPの品質認証キットを提供している。

今回Oasysが同プログラムに参加したのは、同社の新製品「RealTime Explorer」によるRTLをの最適化ソリューションをIPベンダに提供するためで、TSMCはOasysのソリューションを製品の市場投入を加速するものとして歓迎している。

「RealTime Explorer」は今月発表されたばかりの新製品で、論理設計データと物理設計データのクロス・プロービングが可能。従来よりも抽象度の高いRTLのレベルでレイアウトを意識したデザインの最適化が可能で、数時間で最適化したRTLをチェックする事ができるため、ソフトIPの最適化を狙う「TSMC Soft-IP Alliance Program」にフィットしたツールと言える。

Oasys Design Systems

メガチップスが次世代画像処理SoCでArterisのインターコネクトIP「FlexNoC」を採用

2013.01.31
2013年1月29日、SoCインターコネクト設計ソリューションを手掛ける米Arterisは、同社のインターコネクトIP「FlexNoC」を日本のファブレス・ベンダであるメガチップスがライセンスしたことを発表した。

プレスリリース文
発表によるとメガチップスは、最新の画像処理SoCの開発でArterisのインターコネクトIP「FlexNoC」を採用。同社は以前、2つの異なるチップを1つの共有メモリに接続するArterisのインターコネクトIP「C2C(Chip to Chip Link)」を採用した経験を持つが、今回の「FlexNoC」の採用は、画像処理SoCの面積ならびに消費電力の要件を満たすために決定されたもので、低電力かつリアルタイムなレスポンスを実現する唯一の選択肢だったという。

また、メガチップスはパフォーマンスだけでなく、「FlexNoC」に内蔵されるシミュレーション・モジュール「FlexExplorer」についても高く評価。同モジュールから出力されるSystemCモデルをSynopsysのバーチャル・プラットフォーム「Platform Architect MCO」で利用し、システムレベルの解析や社内および顧客とのコミュニケーションに活かしているという。

Arteris

プロトタイピング・ボードのS2Cが新たに13種類の拡張ボードとアクセサリをリリース

2013.01.30
2013年1月28日、FPGAベースのプロトタイピング・ソリューションを手掛ける米S2Cは、同社の提供するプロトタイピング・ボードのオプション・製品として、新たに13種類のインタフェース・カードとアクセサリをリリースした。

プレスリリース文

「Prototype Ready Library」と呼ばれるS2Cのインタフェース・カードとアクセサリは、同社の最新のVirtex-7搭載プロトタイピング・ボード「Virtex-7 TAI Logic Module Series」で利用可能なオプション製品で、これらを利用する事で様々なインタフェースを備えたSoCのプロトタイピング環境を迅速に構築することが可能。具体的なラインナップは以下の通り。

・2 Channel Gigabit Ethernet PHY Interface Module
・4-Lane PCIe Gen2 GTX Module
・4 Channel Transceivers on SMA GTX Module
・Xilinx Zynq ZC702 Interface Module
・2 Channel 1GB DDR3 on SO-DIMM Memory Module
・HDMI Transmitter Interface Module
・Embedded Peripheral Interface Module Type A
・Embedded Peripheral Interface Module Type B
・Interconnection Module Type V
・Interconnection Module Type HQ
・I/O Level Shifting Module Type D
・GTX I/O Testing Module
・Power Expansion Module

S2Cでは今回リリースの製品を含めて計60種類以上の「Prototype Ready Library」を提供しており、それらは世代を問わずS2Cのプロトタイピング・ボードで利用できる。

S2C

株式会社日本サーキット(S2C製品日本総代理店)