NEWS

2018.05.25
4月の日本製半導体製造装置の販売額は前年比31.6%増の2181億1200百万円
2018.05.25
QualcommのAIエンジンでAndroidプラットフォーム初となるリアルシーンAR翻訳を実装
2018.05.24
Synopsys売上報告、2018会計年度Q2売上は前年比14.2%増の7億7680万ドルで過去最高
2018.05.24
Cadence売上報告、2018年Q1売上は前年比8.3%増の5億1700万ドルで四半期記録を更新
2018.05.24
設計/検証IPのSmartDVが「OpenCAPI」インタフェースの検証IPをリリース
2018.05.24
GLOBALFOUNDRIESの22nm FD-SOIプロセスが車載ICの信頼性規格「AEC-Q100」の認証を獲得
2018.05.24
東芝メモリ、岩手県北上市に3Dフラッシュメモリの新製造棟を建設
2018.05.24
TSMCが7nm Apple「A12」プロセッサの量産を開始
2018.05.24
GMOインターネットが世界初7nmチップを搭載したマイニング・マシンを販売開始(6/6から)
2018.05.23
3nmまで見えてきたSamsungのプロセス・ロードマップ、7nm LPPプロセスは今年下半期から
2018.05.23
ソニー、設備投資3年間で1兆円-CMOSイメージセンサーはセンシングでもグローバルNo.1を目指す
2018.05.23
EDA各社のツールがSamsung 8nm LPPプロセスの認証を獲得
2018.05.23
IntelのFPGAを搭載したArduinoの新製品「MKR Vidor 4000」6月末に発売
2018.05.23
半導体ベンダの設備投資額は今年始めて1000億ドルを突破する見通し
2018.05.22
MicronとIntelが業界初のQLC 3D NANDの量産出荷を開始-ダイあたり1テラビットの高密度
2018.05.22
Dolphin IntegrationがRISC-V Foundationに加盟
2018.05.22
Latticeがエッジ向けのAI組み込みFPGAキット「Lattice sensAI」を発表
2018.05.21
数千個のRISC-Vプロセッサを用いた7nmAIチップを開発する米Esperanto Technologies
2018.05.21
マイニング・チップの中国CanaanがAIチップでArterisのインターコネクトIPを採用
2018.05.21
QualcommがWi-Fiセキュリティの新規格「WPA3」をサポート
2018.05.21
MicrosemiがCrossbarのReRAMのライセンシーに
2018.05.19
SynopsysのCNNエンジン搭載プロセッサ「EV6x」が業界初のASIL D対応に
2018.05.19
SilvacoがArmの認定デザイン・パートナーに
2018.05.19
自動運転向け画像処理チップのMobileyeが大型契約を獲得、欧州メーカー800万台に自動運転技術を供給
2018.05.18
仮想通貨マイニング・チップの中国Bitmain、規制を受けて収益源をAIチップにシフト
2018.05.18
NANDより1000倍高速で高密度、IntelのOptaneメモリはSSDに取って代わるかもしれない
2018.05.18
EEMBCがエッジ向けAIプロセッサのベンチマークを開始する計画
2018.05.18
IntelがArria10 FPGAを統合したIntel Xeonスケーラブル・プロセッサーの量産品を提供開始
2018.05.17
Socionextが専用HWと汎用CPUによるハイブリッドコーデックの新製品「M820L」を発売
2018.05.17
インターコネクトIPのNetSpeedと各種設計IPのNorthwestが車載およびデータセンター向けに協業
2018.05.17
GLOBALFOUNDRIESがSynopsysの「IC Validator」を14LPPプロセスのフィジカル・サインオフツールとして認定
2018.05.16
韓国電力公社KEPCOがメーターシステム変革プロジェクトでArmのソリューションを採用
2018.05.16
Arasanが業界初となるMIPI I3C仕様準拠の設計IPをリリース
2018.05.16
MIPI AllianceがMIPI DSI-2 v1.1およびMIPI DCS v1.4仕様をリリース
2018.05.16
好調維持の半導体業界。2018Q1売上実績は上位15社中13社が前年比2ケタ増
2018.05.15
Aldecが高機能シミュレータ「Riviera-PRO」にUVMレジスタジェネレータ等の追加機能を実装
2018.05.15
富士通が組合せ最適化問題を高速に解く 「デジタルアニーラ クラウドサービス」を提供開始
2018.05.15
NECと産総研がAIとシミュレーションを融合しまれな不具合を効率的に発見する技術を開発
2018.05.15
Cadenceが7nm DDR5 IPのプロトタイプに成功、データ転送レート4400Mbpsを達成
2018.05.15
2018年Q1の世界シリコン・ウエハ出荷量は前年比7.9%増で過去最高
2018.05.14
低消費電力のIoT向けスマートセンシングプロセッサ搭載ボード「SPRESENSE™」を発売
2018.05.14
低消費電力のIoT向けスマートセンシングプロセッサ搭載ボード「SPRESENSE™」を発売
2018.05.14
DMPがCyber AI Labホームページを開設
2018.05.14
GPUメーカーの「エヌビディア」が好決算を発表!ザイ・オンライン
2018.05.14
Cadenceが検証IPの新製品3品種(UFS 3.0, CoaXPress, HyperRAM)を発表
2018.05.14
Cadenceが業界初となるアナログIC向けの故障/電気-熱/経年劣化解析ツールを発表
2018.05.14
Intelがエッジ向けAIプロセッサを手掛けるベンチャー米Syntiantに出資
2018.05.14
RISC-VベースのSoC/CPUを手掛けるSiFiveにIntelも出資していた
2018.05.11
TSMCが5nmプロセス技術の詳細を公開
2018.05.11
ArmのIoTプラットフォーム「Arm® Mbed」の最新動向