Aldecの論理シミュレータ「Riviera-PRO」がUVM1.1をフルサポート

2011年11月14日、論理シミュレータ他、各種ASIC/FPGA設計ツールを手掛ける米Aldec社は、同社の論理シミュレーター「Riviera-PRO」のバージョンアップを発表した。
発表によるとバージョンアップされた最新の「Riviera-PRO 2011.10」は、検証メソドロジ「UVM」Version 1.1をフルサポート。更に波形のデバッグと生成機能も追加された。
※UVM:Universal Verification Methodology
Aldecは、今回のUVMフルサポートに伴い、これまでOVM/VMMを利用していたユーザーに向けて「OVM/VMM相互運用キット」と「UVMレジスタ・キット」を用意。「OVM/VMM相互運用キット」を利用すれば、OVMおよびVMMベースのIPを単一の環境で一緒に動作することが可能に。「UVMレジスタ・キット」を利用すれば、OVMからUVMベースの検証環境への移行を容易に実現できるという。

= EDA EXPRESS 菰田 浩 =
(2011.11.15 )