【DACレポート】米VeriEZ社、VeraからSystemVerilogへの変換にも対応するSystemVerilogのLintツールを展示

米VeriEZ Solutions社は、SystemVerilogのテストベンチ及びアサーションのLintチェックを行う「EZCheck」を展示していた。

「EZCheck」は、SystemVerilogの他にOpenVeraにも対応しており、予め用意された6種類計300以上のルール及びユーザ定義のルールによってコード・チェックを行うことができる。備えられているルールの種類は下記の通り。

・EZLint:ユーザの記述エラーチェック用
・EZCoverage:カバレッジチェック用
・EZAssert:SVAクオリティチェック用
・EZPort:OpenVeraチェック用
・EZGuide:Verilogチェック用
・EZVMM:Verification Methodology Manual用

また、この「EZCheck」には、Vera記述のSystemVerilog変換、Verilog記述のSystemVerilog変換機能も備えられているという。

話によると同社は既にCiscoSystemsなど北米市場では約15社の顧客を持ち、100シート以上のライセンス出荷実績がある。

日本企業に向けた出荷実績は未だ無く、販売代理店も存在していない。

※VeriEZ Solutions社 http://www.veriez.com

= EDA EXPRESS 菰田 浩 =
(2006.08.07 )