Mentor、カスタムIC設計ツールを世代交代-新製品「Pyxis」を投入

2011年7月26日、Mentor Graphicsは、カスタムIC設計ツールの新製品「Pyxis」を発表した。
Mentorの新製品「Pyxis」は、既存のカスタムIC設計ツール「IC Station」の後継となる新世代のIC設計ツールで、Mentorが2010年に買収したPyxis Technologyの名にちなんで命名されている。当然、Pyxis Technologyの技術が新製品に活かされており、下記ツール群によって構成されている。
・Pyxis Schematic: 回路図エディタ
・Pyxis Layout & Pyxis Implement:フロアプランニング付のコネクティビティ・ドリブン・レイアウト・エディタ
・Pyxis Custom Router:コンストレイント・ドリブン・カスタム・ルータ
新生「Pyxis」としてMentorが強調する新機能は大きく3つある。
まず、Pyxis Technologyの自動配線技術が「Pyxis Custom Router」として、レイアウト環境「Pyxis Layout」に実装され、トランジスタ、セル、ブロック、チップのレベルで、アナログ/ミックスシグナルの自動配線が可能となった。同機能はグローバル・ルーティングと詳細ルーティングの両方に対応しており階層設計にも対応。2.5D相互接続寄生抽出機能も実装されており、TSMC28nmプロセスにも対応している。
また、これまで「IC Station」では実現されていなかったフィジカル検証ツール「Calibre RealTime」とのインタフェースが用意され、デザイン作成時にサインオフ品質の動的DRCが可能となった。「Calibre RealTime」は今年3月に発表された新製品だが、これまで対応するカスタムIC設計環境はSpringSoft社の「Laker」だけだった。
更に、「Pyxis Concurrent」と呼ぶ同時設計機能により、同一ネットワーク内において同一データベース上のチーム作業が可能となった。この機能はチップ設計およびDRCを加速化させ、カスタムIC設計全体の工数削減に大きなインパクトを与える。
尚、「Pyxis」は、業界標準のデータベース・フォーマット「OpenAccess」による設計データ交換にも対応。Mentorの担当者は、新製品「Pyxis」は最適なルーティングを実現しながら、カスタム・ルーティング段階でかかる時間を、数週間、時には数ヵ月も削減するとコメントしている。
Pyxis-01.jpg
Pyxis-02.jpg
Pyxis-03.jpg
※画像はMentor提供のデータ

= EDA EXPRESS 菰田 浩 =
(2011.07.28 )