TSMCがメンターの低消費電力RTL-to-GDSIIツールフローをリファレンス・フロー10.0に採用

2009年9月30日、メンター・グラフィックスは、同社の低消費電力RTL-to-GDSIIツールフローがTSMCのリファレンス・フロー10.0に採用されたことを発表した。

プレスリリース文

発表によると今回TSMCのリファレンス・フロー10.0に採用されたメンターの低消費電力フローは、「0-In Clock-Domain Crossing」、「Questa」、「FormalPro」、「Olympus-SoC」、「TestKompress」で構成されるもので、PowerフォーマットUPFをベースにRTLレベルで消費電力アーキテクチャを検証し、「Olympus-SoC」でインプリメント。ATPGツール「TestKompress 」によるスキャンテストにおいても消費電力を削減する。

今回TSMCに採用されたフローには含まれていないが、メンターではシステムレベル設計分野においてもESLフロントエンド環境「Vista」や高位合成ツール「Catapult」において低消費電力化の機能を相次いで投入しており、低消費電力ソリューションの拡張に力を注いでいる。

メンター・グラフィックス・ジャパン株式会社

TSMC

= EDA EXPRESS 菰田 浩 =
(2009.10.01 )